Manuscript のバックアップの現在との差分(No.30)


#author("2022-11-29T12:31:14+09:00","default:ishikawa","ishikawa")
#author("2024-03-27T12:05:11+09:00","default:ishikawa","ishikawa")
[[Publication]]

// Control of trench feature profiles in cyclic etching using modulation of C4F8/SF6 gas injection in Ar plasma
//-[273] TBD
//--to be submitted.
//---Taito Yoshie, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Nicolay Britun, Makoto Sekine, and Masaru Hori

*Forthcoming articles

//  High efficiency of ionization and fragmentation-less surface-assisted laser desorption/ionization mass spectroscopy by high-quality carbon nanowalls
-[272] TBD
--to be submitted.
---Ryusei Sakai, Hiroki Kondo, ''Kenji Ishikawa'', Takayuki Ohta, Mineo Hiramatsu, Hiromasa Tanaka, Masaru Hori
// In-plane orientation of carbon nanowalls induced by oblique angle irradiation by ions during plasma-enhanced chemical vapor deposition
-[297] TBD
--to be submitted
---Shintaro Iba, Hiroki Kondo, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Mineo Hiramatsu, and Masaru Hori

// JVB Future of Plasma Etching for Microelectronics: Challenges and Opportunities
-[296] TBD
--to be submitted
---Gottlieb Oehrlein, Stephan Brandstadter, Robert Bruce, Jane Chang, Jessica DeMott, Vincent M. Donnelly, Remi Dussart, Andreas Fischer, Richard Gottscho, Satoshi Hamaguchi, Masanobu Honda, Masaru Hori, ''Kenji Ishikawa'', Steven Jaloviar, Keren Kanarik, Kazuhiro Karahashi, Akiteru Ko, Hiten Kothari, Nobuyuki Kuboi, Mark Kushner, Thorsten Lill, Pingshan Luan, Ali Mesbah, Eric Miller, Shoubhanik Nath, Yoshinobu Ohya, Mitsuhiro Omura, Chanhoon Park, John Polouse, Shahid Rauf, Makoto Sekine, Taylor Smith, Nathan Stafford, Theo Standaert, and Peter Ventzek

// Vacuum Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma
-[271] TBD
--to be submitted.
---Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
// Advanced Engineering Materials   Effects of Plasma Ions/Radicals on Kinetic Interactions in Nanowall Deposition: A Review
-[295] (Invited review) TBD
--to be submitted
---''Kenji Ishikawa''

// Science advances. Cytotoxic effect of Ringer`s acetate solution organically engineered by cold atmospheric pressure plasma
-[270] TBD
--to be submitted.
---Camelia Miron, Kenji Ishikawa, Satoshi Kashiwagura, Yuki Suda, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Masaki Mizuno, and Masaru Hori
// TSF Nitrogen admixture effects on growth characteristics and properties of carbon nanowalls
-[294] Nitrogen admixture effects on growth characteristics and properties of carbon nanowalls
--under review. SSRN [[(Preprint):https://doi.org/10.2139/ssrn.4606947]]
---Peter Raj Dennis Christy, Ngo Van Nong, Nikolay Britun, Ngo Quang Minh, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Osamu Oda, ''Kenji Ishikawa'' and Masaru Hori

// Journal of Medicinal Chemistry   Low-temperature plasma irradiation of Ringer`s lactate generates heterogeneous biomolecules for cancer treatment
-[269] TBD
--to be submitted.
---Camelia Miron, ''Kenji Ishikawa'', Satoshi Kashiwagura, Yang Liu, Daiki Ito, Yuki Suda, Yugo Hosoi, Hiroshi Hashizume, Hiroki Kondo, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Massaki Mizuno, and Masaru Hori
// JVA R In situ atom-resolved observation of Si (111) 7×7 surface with F radical and Ar ion irradiation simulated atomic layer etching
-[293] TBD
--to be submitted
---Takayoshi Tsutsumi, Atsuki Asano, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori 

//Sains Malaysiana  Plasma-assisted priming: improved germination and seedling performance of papaya
-[268]
--to be submitted.
---Deng-Ke Xi, Seong Ling Yap, Nitturi Naresh Kumar, Chian Cheng Toh, Lian Kuang Lim, Kenji Ishikawa, and Masaru Hori
// SR Epitaxial growth of high-quality GaN with a high growth rate at low temperatures by REMOCVD (Radical-Enhanced Metalorganic Chemical Vapor Deposition) without using ammonia gas
-[292] TBD
--to be submitted
---Arun Kumar Dhasiyan, Frank Wilson Amalraj, Swathy Jayaprasad, Naohiro Shimizu, Osamu Oda, ''Kenji Ishikawa'', and Masaru Hori

// High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts
-[267]  TBD
--to be submitted.
---Hiromasa Tanaka, Daijiro Iwata, Yuki Shibata, Tetsunari Hase, Daisuke Onoshima, Naoyuki Yogo, Hirofumi Shibata, Mitsuo Sato, ''Kenji Ishikawa'', Ikuo Nagasawa, Yoshinori Hasegawa, Makoto Ishii, Yoshinobu Baba, and Masaru Hori
//JACS  Cryogenic Etching of SiO2 Using Hydrogen-containing Fluorocarbon Gases Based on Pseudo-Wet Plasma Mechanism
-[291] TBD
--to be submitted
---Shih-Nan Hsiao, Makoto Sekine, Nikolay Britun, Micheal Kin Ting Mo, Yusuke Imai, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Yuki Iijima, Ryutaro Suda, Masahiko Yokoi, Yoshihide Kihara, and Masaru Hori 

// Thermal cyclic etching of GaN using sequential exposures of Cl2 plasma and Ar plasma at substrate temperature of 400°C
-[266] TBD
--to be submitted.
---Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, ''Kenji Ishikawa'', Makoto Sekine, Osamu Oda, and Masaru Hori
// 
-[290] Low-temperature plasma irradiation of Ringer's lactate generates heterogeneous molecules for cancer treatment
--under review. Research Square [[(Preprint):https://doi.org/10.21203/rs.3.rs-2010278/v1]] 
---Camelia Miron, Satoshi Kashiwagura, Nikolay Britun, Daiki Ito, Naoyuki Iwata, Yang Liu, Hiroaki Kajiyama, Shinya Toyokuni, Massaki Mizuno, Hiroshi Hashizume, Hiroki Kondo, ''Kenji Ishikawa'', Hiromasa Tanaka, and Masaru Hori

//ACS Measurement Science Au    Leukocyte depletion and size-based enrichment of circulating tumor cells using a pressure-sensing microfiltration device
-[265] TBD
--to be submitted.
--- Daisuke Onoshima, Tetsunari Hase, Naoto Kihara, Daiki Kuboyama, Hiromasa Tanaka, Naoya Ozawa, Hiroshi Yukawa, Mitsuo Sato, ''Kenji Ishikawa'', Yoshinori Hasegawa, Makoto Ishii, Masaru Hori, and Yoshinobu Baba 

-[264] TBD
--to be submitted.
---Yoshihiro Sakamoto, Takayoshi Tsutsumi, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroshi Hashizume, and Masaru Hori

----

*Just as accepted 

-[263] Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method
--Materials Science and Engineering A (2022)
---Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, ''Kenji Ishikawa'', Osamu Oda, Noritsugu Umehara, and Masaru Hori
-[289] Growth and characterization of carbon nanowalls synthesized at low temperature of 225 C by radical injection plasma-enhanced chemical vapor deposition
--Vacuum (2024). 
---Ngo Quang Minh, Ngo Van Nong, Osamu Oda, ''Kenji Ishikawa'', and Masaru Hori

-[262] Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma
--Scientific Reports (2022).
---Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori
-[288] Dissociative properties of C4F6 obtained using computational chemistry
--Japanese Journal of Applied Physics (2024). [[(DOI):https://doi.org/10.35848/1347-4065/ad3166]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[261] Carbon Layer Formation on Hexagonal Boron Nitride by Plasma Processing in Hydroquinone Aqueous Solution
--ACS Applied Materials and Interfaces (2022) [[(DOI):https://doi.org/10.1021/acsami.2c15951]]
---Kenichi Inoue, Noritaka Sakakibara, Taku Goto, Tsuyohito Ito, Yoshiki Shimizu, Yukiya Hakuta, ''Kenji Ishikawa'', Masaru Hori, and Kazuo Terashima
-[P] Editorial: Low-temperature Plasma as a Strategy to Achieve SDGs
--Free Radical Research (2023) [[(DOI):https://doi.org/10.1080/10715762.2023.2297343]]
---Hiromasa Tanaka, ''Kenji Ishikawa'', and Shinya Toyokuni

-[260]  Impact of microsecond-pulsed plasma-activated water on papaya seed germination and seedling growth
--Chinese Physics B (2022). [[(DOI):https://doi.org/10.1088/1674-1056/ac904e]]
---Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, ''Kenji Ishikawa'', Masuru Hori, and Seong Ling Yap

-[259] Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies
--Japanese Journal of Applied Physics 62, (2023). [[(DOI):https://doi.org/10.35848/1347-4065/ac9189]]
---Makoto Kambara, Satoru Kawaguchi, Hane June Lee, Kazumasa Ikuse, Satoshi Hamaguchi, Takeshi Ohmori, and ''Kenji Ishikawa''

----

*Published online 

-[258] Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds
--Japanese Journal of Applied Physics 62 (SA), SA1017 (2023). [[(DOI):https://doi.org/10.35848/1347-4065/ac9319]]
---Koki Ono, Takashi Koide, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroki Kondo, Ayae Sugawara-Narutaki, Yong Jin, Shigeo Yasuhara, Masaru Hori, and Wakana Takeuchi
-[287] Inhibition of glutamine metabolism increases sensitivity to plasma-activated medium-induced cytotoxicity
--Free Radical Research 58, (2024). [[(DOI):https://doi.org/10.1080/10715762.2024.2332343]]
---Shu Tanaka, Sae Hayashi, Tomohiro Otsuka, Tetsuro Kamiya, ''Kenji Ishikawa'', and Hirokazu Hara

-[257] Dissociation channels of c-C4F8 to C2F4 in reactive plasma
--Japanese Journal of Applied Physics 61, 106006 pp. 1-6 (2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac895e]]
---Toshio Hayashi, ''Kenji Ishikawa'', Hiroshi Iwayama, Makoto Sekine, and Masaru Hori

----

[[CRediT:https://www.elsevier.com/authors/journal-authors/policies-and-ethics/credit-author-statement]] from authorship to contributorship [[detail:https://onlinelibrary.wiley.com/doi/full/10.1002/leap.1210]]

1. Conceptualization, 2. Data curation, 3. Formal Analysis, 4. Funding acquisition, &color(black,yellow){5. Investigation};, 6. Methodology, 7. Project administration, 8. Resources, 9. Software, &color(black,yellow){10, Supervision};, 11. Validation, 12. Visualization, &color(white,red){13. Writing – original draft};, &color(white,orange){14. Writing – review & editing};

//#include(Footer,notitle)