ProceedingIntern22 のバックアップソース(No.4)

#author("2022-07-31T16:34:12+09:00","default:ishikawa","ishikawa")
#include(MenuTab1,notitle)

Proceedings of international conferences

*2022

-960) Masaru Hori, Jumpei Kurokawa, Hiroki Kondo, ''Kenji Ishikawa'', and Makoto Sekine	
--(Invited) Internal parameter analysis by random forest model in PECVD of amorphous carbon films	
---First Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies(IEEE Nanotechnology Council)

----

*14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) March 7-10, 2022

-961) Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, ''Kenji Ishikawa'', Makoto Sekine, Magdaleno R. Vasquez Jr., and Masaru Hori
--In-liquid plasma coating of graphite films on metal surface immersed in ethanol
---07pB09O
-962) Hiroki Kondo, Atsushi Ozaki, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, Uroš Cvelbar, and Mineo Hiramatsu
--Three-dimensional morphological analysis of carbon nanowalls
---07pE03O
-963) Frank Wilson Amalraj, Dhasiyan Arun Kumar, Naohiro Shimizu, Osamu Oda, ''Kenji Ishikawa'', and Masaru Hori
--Homoepitaxial Growth of GaN Using a p-BN Tube Attached Radical Enhanced MOCVD (REMOCVD)
---08pC13O
-964) Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, ''Kenji Ishikawa'', and Masaru Hori
--Nanomechanical Properties Of Maze-Like Carbon Nanowalls Structure By Nanoindentation Technique
---07pD06O
-965) Shintaro Iba, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
--Effect of RF Stage-Bias on Morphology of Carbon Nanowalls Grown by a Radical-Injection Plasma Enhanced Chemical Vapor Deposition
---07pD05O
-966) Naohiro Shimizu, Ranjit Borude, Reiko Tanaka, ''Kenji Ishikawa'', Osamu Oda, Hiroki Hosoe, Satoshi Ino, Yousuke Inoue, and Masaru Hori
--Rebound Tailing Pulse Method Applied to Water Electrolysis
---07pB11O
-967) Liugang Hu, ''Kenji Ishikawa'', Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Makoto Sekine, and Masaru Hori
--Etching of a layer from stacked graphene in remote oxygen plasma
---09P-02
-968) Daichi Goto, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
--Improvement of Efficiency of Biodegradation of Polyethylene Terephthalate using Neutral-Oxygen-Radical Source
---08P-29
-969) Takumi Hashimoto, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
--Effect of substrate temperature on morphology of carbon nanowalls grown by a radical-injection plasma-enhanced chemical vapor deposition using C2F6/H2 mixture gas
---08P-05
-970) Shunya Hashimoto, Yuta Matsumoto, Jun-Seok Oh, Tatsuru Shirafuji, ''Kenji Ishikawa'', and Masaru Hori	
--Visualization of plasma affected area on a 3D printed mouse model.
---08pE09O
-971) Masaru Hori, Hiromasa Tanaka, and ''Kenji Ishikawa''
--Tuning Plasma-Knobs to Control Seamless Radical-Induced Biological Reaction Processes
---08P-24
-972) Hidefumi Uchiyama, ''Kenji Ishikawa'', Masaru Hori, and Takashi Kondo
--Low temperature plasma chemistry of volatile and non-volatile solutes in aqueous solutions: e.p.r. and spin trapping studies.
---08P-25
-973) Koki Ono, Takashi Koide, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroki Kondo, Ayae Sugawara Narutaki, Yong Jin, Shigeo Yasuhara, Masaru Hori, and Wakana Takeuchi
--Biocompatibility of Conformal Coating of SiC on Carbon Nanowall Scaffold
---08P-28
-974) Camelia Miron, Nikolay Britun, Hiroki Kondo, Kae Nakamura, Hiroshi Hashizume, Hiromasa Tanaka, ''Kenji Ishikawa'', and Masaru Hori
--Physicochemical Investigation of Plasma Activated Lactate Solutions 
---09pE13O
-975) Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori
--Atomic layer etching of metal compounds with selective removal of their carbides over nitrides using a floating wire-assisted liquid vapor plasma method
---09aC03O
-976) Taito Yoshie, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori
--Feature profiles in cyclic etch using C4F8 and SF6 gas-modulated plasma
---09pC06O
-977) Jumpei Kurokawa, Tadashi Mitsunari, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, ''Kenji Ishikawa'', and Masaru Hori
--Correlation analysis between radicals in gas phase and etch resistance of hydrogenated amorphous carbon film
---09pB14O
-978) Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
--Manipulation of etch selectivity of silicon nitride over silicon dioxide by controlling substrate temperature with a CF4/H2 plasma
---09pC07O
-979) Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
--Quality-Increasing Effect of Plasma Treatment in a Paddy on Various Rice Cultivars
---09pE07O
-980) Takumi Yamauchi, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori
--Measurement of RONS concentration in plasma-irradiated artificial seawater
---09P-26
-981) Yang Liu, Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, ''Kenji Ishikawa'', Masaharu Shiratani, and Masaru Hori
--Genotoxic effects of plasma activated Ringer's lactate solution on cancer cells
---09pE08O
-982) Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, ''Kenji Ishikawa'', Osamu Oda, and Masaru Hori
--Low damage atomic layer etching of GaN at high temperature
---09aC04O
-983) Daiki Ito, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
--Evaluation of selective anti-cancer effect in plasma-activated Ringer's lactate solution produced by regulated surrounding atmosphere
---09aE06O
-984) Kenichi Inoue, Noritaka Sakakibara, Taku Goto, Tsuyohito Ito, Yoshiki Shimizu, ''Kenji Ishikawa'', Masaru Hori, and Kazuo Terashima
--Carbon layer formation on boron nitride via a plasma in hydroquinone solution
---09P-25

*2022 MRS Spring Meeting & Exhibit at Hawaii Convention Center, Honolulu, USA  May 7-13, 2022

-985) ''Kenji Ishikawa''
--(Tutorial, INVITED) Plasma medicine and plasma bio related phenomena
---MF01 8:30 on May 8
-986) ''Kenji Ishikawa'', Taito Yoshie, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori
--Transient Behaviors of Gaseous and Surface Reactions in a Cycle of Passivation and Etch Steps Using Ar-Based C4F8 and SF6 Plasma
---MF01.03.02
-Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Yasumasa Okazaki, Shinya Toyokuni, and Masaru Hori
--Gene Expression Analysis of Plasma Activated Ringer’s Lactate Solution Treated Cells
---MF01.08.02

The others

-[Invited] Creation of Plasma Biology by Seamless Radical Control in Gas Phase, Liquid Phase and Biological Systems
--Masaru Hori

-Growth of High-In Content InGaN Layer by Molecular Beam Epitaxy Under High-Density Nitrogen Radical Irradiation
--MF01.05.03
---Hiroki Kondo, Kiyoshi Kuwahara, Arun Dhasiyan, Osamu Oda, Koji Yamakawa, Shoji Den, Yoshihiro Nakai, and Masaru Hori

----

-988) ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
--(INVITED) Nanoscale etching technologies for nitrides and carbides
---Second Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies, May 10, 2022

-989) Kuangda Sun, Chieh-Ju Liao, Shih-Nan Hsiao, Makoto Sekine, Toshiyuki Sasaki, Chihiro Abe, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori
--Study of etching process using CHF3 gas condensed layer in cryogenic region
---1st International Workshop on Plasma Cryogenic Etching Processes, Talk3 10:50‐11:15 May 17, 2022

----

*22nd Atomic layer deposition and 9th atomic layer etching workshop (ALD/ALE Workshop) held at Ghent University, Belgium

-990) Thi-Thuy-Nga Nguyen, Kazunori Shinoda, H. Hamamura, Kenji Maeda, K. Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
--Surface Modification for Atomic Layer Etching of TiAlC Using Floating Wire-Assisted Liquid Vapor Plasma at Medium Pressure
---ALE-TuM2-3 
-991) Kazunori Shinoda, H. Hamamura, Kenji Maeda, Masaru Izawa, Thi-Thuy-Nga Nguyen, ''Kenji Ishikawa'', and Masaru Hori
--Plasma-Assisted Thermal-Cyclic Etching of Silicon Germanium Selective to Germanium
---ALE-MoM2-3 

* 9th International conference on Plasma medicine (ICPM9) held at Jaarbeurs, Utrecht, the Netherlands

-992) Camelia Miron, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Massaki Mizuno, and Masaru Hori
--Physicochemical Investigation of Plasma Activated Liquids Organically Engineered by Cold Atmospheric Pressure Plasma for Cancer Treatment 
---O4 D4B3
-993) Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima,
Masaaki Mizuno, and Masaru Hori
--Effectiveness of Plasma Treatment for Various Rice Cultivation
---P1 6 
-994) Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Hiroaki Kajiyama, Shinya Toyokuni, and Masaru Hori
--[Invited] Molecular mechanisms of cell death by plasma activated solutions in glioblastoma cells 
---I1 D1A1
-995) Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, and Masaru Hori
--[Invited] The aqueous plasma therapy for ovarian cancer ~Aiming for controlling disseminated peritoneal metastasis~
---I2 D4B1

*THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22 2022.9.11.SUN-16.FRI Sapporo Convention Center, Sapporo, Japan

-996) Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori 
--In situ monitoring hydrogen fluoride molecular density and its effects on etch selectivity of SiN over SiO2 films with hydrogen-contained fluorocarbon down-flow plasmas
---13:15 Tue-H1-4

-997) Hiroki Kondo, Jumpei Kurokawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
--Machine learning and contribution analysis of radicals to the properties of hydrogenated amorphous carbon films grown by a plasma-enhanced chemical vapor deposition
---13:30 Tue-H1-5

*GEC/ICRP

* AVS 68th International Symposium & Exhibition at The David L. Lawrence Convention Center, Pittsburgh, PA, USA, November 6 - 11, 2022

-XXX) Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Kazunori  Shinoda, Hirotaka  Hamamura, Kenji  Maeda, Kenetsu  Yokogawa, Masaru  Izawa, and Masaru Hori
--Impact of Bias Power and Oxygen Addition on Selective Dry Etching of TiAlC over TiN Using N2/H2-based Plasmas
---9:20 AM November 9, 2022

-XXX) Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka  Hamamura, Kenji  Maeda, Kenetsu Yokogawa, Masaru  Izawa, Kenji Ishikawa, and Masaru  Hori
--Selective Dry Etching of TiAlC over TiN using N2/H2 Plasma Chemistry
---11:20 AM November 9, 2022


----
#include(MenuTab2,notitle)