Publication のバックアップの現在との差分(No.1)


#author("2020-11-20T11:08:09+09:00","default:ishikawa","ishikawa")
Publications
#author("2024-03-04T16:46:27+09:00","default:ishikawa","ishikawa")
* Publication

Total 324 peer-reviewed papers (journal 286 papers + 14 paper in Japanese + 15 peer-reviewed proceedings + 9 other papers); 

''4445'' {5484} total citations ; h-index ''32'' , {''36'' [[Scopus:http://www.scopus.com/authid/detail.url?authorId=55360981500]] }
// [[Publons>https://publons.com/researcher/2507368/kenji-ishikawa/]]    Author ID (I-6758-2014 was changed from [[C-9919-2009:http://www.researcherid.com/rid/C-9919-2009]]) on Thomson Reuters database.

Topics    &color(white,blue){FC};    Fluorocarbon plasmas, &color(white,blue){HN};    hydrogen-nitrogen mixture plasmas, &color(white,brown){APP};    Atmospheric pressure plasmas, &color(white,brown){PA};    Plasma agriculture, and &color(white,brown){PM};    Plasma medicine, &color(white,green){dia};    Plasma processes for diamond, &color(white,green){C};    nano-carbon, &color(white,green){Si};    nanocrystalline silicon, &color(black,pink){GaN};    Gallium nitrides

Methods    &color(black,yellow){IR};    Infrared spectroscopic studies, &color(black,yellow){LIF};    Laser induced fluorescence, &color(white,red){ESR};    Electron spin (Paramagnetic) resonance, &color(black,orange){Beam};    Surface reactions under ion beam irradiation, &color(black,cyan){QC};    Quantum chemical computation

**2024

-[286] &color(white,red){OPEN};    Plasma-driven sciences: Exploring complex interactions at plasma-boundaries
--Plasma (mdpi) 7 (1), pp. 160-177 (February 27, 2024). [[(DOI):https://doi.org/10.3390/plasma7010011]]
---''Kenji Ishikawa'', Kazunori Koga, and Noriyasu Ohno

-[285] &color(white,red){OPEN}; &color(white,brown){PM};    Elaborate Cooperation of Poly(rC)-binding Proteins 1/2 and Glutathione in Ferroptosis Induced by Plasma-activated Ringer' s Lactate
--Free Radical Biology and Medicine 214, pp. 28-41 (February 9, 2024). [[(DOI):https://doi.org/10.1016/j.freeradbiomed.2024.02.001]]
---Li Jiang, Hao Zheng, Moe Ishida, Qinying Lyu, Shinya Akatsuka, Yashiro Motooka, Kotaro Sato, Yoshitaka Sekido, Kae Nakamura, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, and Shinya Toyokuni

-[284] &color(white,red){OPEN}; &color(white,brown){PA};    Oxygen radical irradiation transforms an organic fertilizer l-tryptophan into an environment and human-friendly bactericide
--Environmental Technology and Innovation 33, 103496 pp. 1-11 (February 1, 2023). [[(DOI):https://doi.org/10.1016/j.eti.2023.103496]], [[(Press release in Japanese):https://www.nagoya-u.ac.jp/researchinfo/result/2024/01/post-608.html]], [[(EurekAlert!):https://www.eurekalert.org/news-releases/1035699]], [[(NU Research Information):https://www.nagoya-u.ac.jp/researchinfo/result-en/2024/02/20240228-1.html]]
---Naoyuki Iwata, ''Kenji Ishikawa'', Yasuhiro Nishikawa, Hiroyuki Kato, Motoyuki Shimizu, Masashi Kato, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori

-[283] &color(white,red){OPEN}; &color(white,blue){Etch};    Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO2 or OCS for high-aspect-ratio etching
--Applied Surface Science 645, 158876 pp. 1-6 (February 1, 2024) [[(DOI):https://doi.org/10.1016/j.apsusc.2023.158876]]
---''Kenji Ishikawa'', Thi-Thuy-Nga Nguyen, Yuta Aoki, Hiroyasu Sato, Junichi Kawakami, Shuji Tsuno, Shin-Nan Hsiao, and Masaru Hori

-[282] &color(white,red){ESR};    High linear energy transfer (LET) nature of alanine radical yield by soft X-ray irradiations studied by electron spin resonance (ESR) applications
--Radiation Physics and Chemistry 214, 111304 pp. 1-6 (January, 2024). [[(DOI):https://doi.org/10.1016/j.radphyschem.2023.111304]]
---Seiko Nakagawa, Akinari Yokoya, Maki Ohara, Noriko Usami, Mizue Asada, Motoyasu Fujiwara, Toshikazu Nakamura, ''Kenji Ishikawa''

**2023

-[281] &color(white,red){OPEN}; &color(white,blue){Etch};    Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6
--Applied Surface Science 638, 157981 pp. 1-14 (November 30, 2023). [[(DOI):https://doi.org/10.1016/j.apsusc.2023.157981]]
---Taito Yoshie, ''Kenji Ishikawa'', Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori

-[280] &color(white,blue){Etch};      An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases
--Applied Physics Letters 123, 212106 pp. 1-6 (November 21, 2023) [[(DOI):https://doi.org/10.1063/5.0173553]]
---Shih-Nan Hsiao, Makoto Sekine, ''Kenji Ishikawa'', Yuki Iijima, Yoshinobu Ohya, and Masaru Hori

-[279] &color(black,pink){GaN};    Study of the behavior of trimethyl gallium and triethyl gallium by optical emission spectroscopy and quadrupole mass spectroscopy for the growth of GaN by REMOCVD (Radical-Enhanced Metalorganic Chemical Vapor Deposition)
--Japanese Journal of Applied Physics 62 (SN), SN1019 pp. 1-7 (November 2, 2023). [[(DOI):https://doi.org/10.35848/1347-4065/acfd34]]
---Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, ''Kenji Ishikawa'', and Masaru Hori

-[278] &color(white,green){C};    Deposition of carbon-based materials directly on copper foil and nickel foam as 2D and 3D-networked metal substrates by in-liquid plasma
--Plasma Processes and Polymers 20 (11), 2300036 pp.1-13 (November 1, 2023). [[(DOI):https://doi.org/10.1002/ppap.202300036]]
---Ma. Shanlene D.C. Dela Vega, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[277] &color(white,red){OPEN}; &color(white,brown){PM};      Generation and measurement of low-temperature plasma for cancer therapy: A historical review
--Free Radical Research 57 (3), pp. 239-270 (July, 2023). [[(DOI):https://doi.org/10.1080/10715762.2023.2230351]]
---''Kenji Ishikawa'', Keigo Takeda, Shinji Yoshimura, Takashi Kondo, Hiromasa Tanaka, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, and Masaru Hori

-[276] &color(white,brown){PA};   Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer’s rice cultivar Yamadanishiki
--Free Radical Research 57 (3), pp. 161-173 (July, 2023) [[(DOI):https://doi.org/10.1080/10715762.2023.2215914]]
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

-[275] &color(white,brown){PM};   Cancer-specific cytotoxicity of Ringer's acetate solution irradiated by cold atmospheric pressure plasma
--Free Radical Research 57 (2), pp. 91-104 (June, 2023) [[(DOI):https://doi.org/10.1080/10715762.2023.2201390]]
---Camelia Miron, ''Kenji Ishikawa'', Satoshi Kashiwagura, Yuki Suda, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Masaki Mizuno, and Masaru Hori

-[274] &color(white,brown){APP};    Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets
--Japanese Journal of Applied Physics 62 (SL), SL1011 pp. 1-5 (June 8, 2023). [[(DOI):https://doi.org/10.35848/1347-4065/acd4ca]]
---Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzukka, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[P15] &color(white,blue){Etch};    Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool
--SPIE proceedings: Advanced Etch Technology and Process Integration for Nanopatterning XII 12499, 124990E (2023) [[(DOI):https://doi.org/10.1117/12.2664547]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori

-[273] &color(white,brown){PM};   Organic decomposition and synthesis reactions in lactated solution exposed to non-equilibrium atmospheric pressure plasma
--Plasma Processes and Polymers 20 (5) 2200193 (May 1, 2023). [[(DOI):https://doi.org/10.1002/ppap.202200193]]
---Yang Liu, ''Kenji Ishikawa'', Hiromasa Tanaka, Camelia Miron, Takashi Kondo, Kae Nakamura, Masaaki Mizuno, Hiroaki Kajiyama, Shinya Toyokuni, and Masaru Hori

-[272] Leukocyte depletion and size-based enrichment of circulating tumor cells using a pressure-sensing microfiltration device
--ACS Measuremt Science Au 3 (2), pp. 113–119 (April 19, 2023). [[(DOI):https://doi.org/10.1021/acsmeasuresciau.2c00057]]
--- Daisuke Onoshima, Tetsunari Hase, Naoto Kihara, Daiki Kuboyama, Hiromasa Tanaka, Naoya Ozawa, Hiroshi Yukawa, Mitsuo Sato, ''Kenji Ishikawa'', Yoshinori Hasegawa, Makoto Ishii, Masaru Hori, and Yoshinobu Baba 

-[271] &color(white,blue){Etch};    Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma  
--Vacuum 210, 111863 pp. 1-10 (April 1, 2023). [[(DOI):https://doi.org/10.1016/j.vacuum.2023.111863]]
---Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[270]  High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts 
--Scientific Reports 13, 4130 pp. 1-9 (March 13, 2023). [[(DOI):https://doi.org/10.1038/s41598-023-31265-9]]  Research Square [[(Preprint):https://doi.org/10.21203/rs.3.rs-1832284/v1]]
---Hiromasa Tanaka, Daijiro Iwata, Yuki Shibata, Tetsunari Hase, Daisuke Onoshima, Naoyuki Yogo, Hirofumi Shibata, Mitsuo Sato, ''Kenji Ishikawa'', Ikuo Nagasawa, Yoshinori Hasegawa, Makoto Ishii, Yoshinobu Baba, and Masaru Hori

-[269] &color(white,brown){PM};  Plasma activated Ringer's lactate solution
--Free Radical Research 57 (1), pp. 14-20 (March 1, 2023) [[(DOI):https://doi.org/10.1080/10715762.2023.2182663]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, and Masaru Hori

-[268] &color(white,brown){PA};    Plasma-assisted priming: improved germination and seedling performance of papaya
--Sains Malaysiana 52 (2) pp. 599-611 (February 2023). [[(DOI):https://doi.org/10.17576/jsm-2023-5202-21]]
---Deng-Ke Xi, Seong Ling Yap, Nitturi Naresh Kumar, Chian Cheng Toh, ''Kenji Ishikawa'', and Masaru Hori

-[267] &color(white,red){OPEN};    Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies
--Japanese Journal of Applied Physics 62 (SA), SA0803 pp. 1-37 (February 2023). [[(DOI):https://doi.org/10.35848/1347-4065/ac9189]]
---Makoto Kambara, Satoru Kawaguchi, Hane June Lee, Kazumasa Ikuse, Satoshi Hamaguchi, Takeshi Ohmori, and ''Kenji Ishikawa''

-[266] &color(white,brown){PM};   Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds
--Japanese Journal of Applied Physics 62 (SA), SA1017 pp. 1-10 (February 2023). [[(DOI):https://doi.org/10.35848/1347-4065/ac9319]]
---Koki Ono, Takashi Koide, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroki Kondo, Ayae Sugawara-Narutaki, Yong Jin, Shigeo Yasuhara, Masaru Hori, and Wakana Takeuchi

-[265] &color(black,pink){GaN};    Thermal cyclic etching of GaN using sequential exposures of Cl2 plasma and Ar plasma at substrate temperature of 400°C
--Journal of Applied Physics 133, 043302 pp. 1-11 (January 24, 2023). [[(DOI):https://doi.org/10.1063/5.0131685]]
---Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, ''Kenji Ishikawa'', Makoto Sekine, Osamu Oda, and Masaru Hori

-[264] &color(white,green){C};    Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method
--Materials Science and Engineering A 862, 144428 pp. 1-8 (January 18, 2023). [[(DOI):https://doi.org/10.1016/j.msea.2022.144428]]
---Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, ''Kenji Ishikawa'', Osamu Oda, Noritsugu Umehara, and Masaru Hori

-[263] &color(white,red){OPEN}; &color(white,brown){PM};   Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity
--Genes and Environment 45, 3 pp. 1-10 (January 13, 2023) [[(DOI):https://doi.org/10.1186/s41021-023-00260-x]]
---Yang Liu, Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, ''Kenji Ishikawa'', Masaharu Shiratani, and Masaru Hori 

**Before 2022

Go to [[Old publications>Publication2]]

----

**Selected publications in old publications

-[233] &color(white,blue){Etch};   Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar
--Applied Surface Science 541, 148439 (pp.1-8) (March 1, 2021). [[(DOI):https://doi.org/10.1016/j.apsusc.2020.148439]]
---Shih-Nan Hsiao, ''Kenji Ishikawa'', Toshio Hayashi, Jiwei Ni, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori

-[228] &color(white,red){Free};   Insights into normothermic treatment with direct irradiation of atmospheric pressure plasma for biological applications
--Japanese Journal of Applied Physics 60, 010502 (pp.1-12) (January, 2021).  [[(DOI):https://doi.org/10.35848/1347-4065/abcbd2]] in Selected Topics in Applied Physics
---Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, and ''Kenji Ishikawa''

-[215] &color(white,brown){PM}; Non-thermal plasma–activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism
--Archives of Biochemistry and Biophysics 688, 108414 (pp. 1-9) (July 30, 2020).  [[(DOI):https://doi.org/10.1016/j.abb.2020.108414]]
---''Kenji Ishikawa'', Yugo Hosoi, Hiromasa Tanaka, Li Jiang, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[183] &color(white,brown){PM};    Nonthermal plasma-activated medium (PAM) modified metabolomic profiles in glycolysis of U251SP glioblastoma
--Archives of Biochemistry and Biophysics 662, pp.83-92 (February 15, 2019)    [[(DOI):https://doi.org/10.1016/j.abb.2018.12.001]]
---Naoyuki Kurake, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[182] &color(white,brown){PM};    Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources
--Journal of Physics D:Applied Physics 52 (16), 165202 (pp. 1-13) (February 15, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/aaff44]]
---Keigo Takeda, Hiromasa Yamada, ''Kenji Ishikawa'', Hajime Sakakita, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Yoshihiro Akimoto, Yosky Kataoka, Naoaki Yokoyama, Yuzuru Ikehara, and Masaru Hori

-[161] &color(white,red){OPEN};    &color(white,blue){Etch};    Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?
--Japanese Journal of Applied Physics 57 (6S2), 06JA01 (pp. 1-18) (May 25, 2018).    [[(DOI):https://doi.org/10.7567/JJAP.57.06JA01]]
---''Kenji Ishikawa'', Kazuhiro Karahashi, Tatsuo Ishijima, Sung Il Cho, Simon Elliott, Dennis Hausmann, Dan Mocuta, Aaron Wilson, and Keizo Kinoshita

-[130] &color(white,blue){Etch};    Progress and prospects in nanoscale dry processes - How can we control atomic layer reactions?
--Japanese Journal of Applied Physics 56 (6S2), 06HA02 (pp. 1-13) (June 1, 2017). Progress Review of DPS special issue.    [[(DOI):https://doi.org/10.7567/JJAP.56.06HA02]] 
---''Kenji Ishikawa'', Kazuhiro Karahashi, Takanori Ichiki, Jane P. Chang, Steven M. George, W. M. M. Kessels, Hae June Lee, Stefen Tinck, Jung Hwan Um, and Keizo Kinoshita

-[112] &color(white,brown){PA};    Cold plasma interactions with enzymes in foods and model systems
--Trends in Food Science & Technology 55, pp. 39-47 (September 1, 2016).    [[(DOI):https://doi.org/10.1016/j.tifs.2016.07.001]]
---N. N. Misra, S. K. Pankaj, Annalisa Segat, and ''Kenji Ishikawa''

-[111] &color(white,brown){PM};    Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium 
--Archives of Biochemistry and Biophysics 605, pp. 102-108 (September 1, 2016).    [[(DOI):https://doi.org/10.1016/j.abb.2016.01.011]]
---Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[44] &color(white,red){ESR};    &color(white,brown){PA};    Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas
--Applied Physics Letters 101 (1), 013704 (pp. 1-4) (July 2, 2012).    [[(DOI):https://doi.org/10.1063/1.4733387]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6917]]
---''Kenji Ishikawa'', Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[34] &color(white,red){ESR};    Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study
--Journal of Physical Chemistry Letters 2, pp. 1278-1281 (2011).    [[(DOI):https://doi.org/10.1021/jz2002937]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6920]]
---''Kenji Ishikawa'', Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori 

**Before 2021

Go to [[Old publications>Publication2]]

#include(Footer,notitle)