Publication のバックアップの現在との差分(No.31)


#author("2021-12-19T13:07:40+09:00","default:ishikawa","ishikawa")
#author("2024-04-13T08:44:53+09:00","default:ishikawa","ishikawa")
* Publication

Total 280 peer-reviewed papers (journal 247 papers + 10 paper in Japanese + 14 peer-reviewed proceedings + 9 other papers); 
Total 327 peer-reviewed papers (journal 289 papers + 14 paper in Japanese + 15 peer-reviewed proceedings + 9 other papers); 

''3182'' total citations ; h-index ''28'' [[Publons>https://publons.com/researcher/2507368/kenji-ishikawa/]], [[Scopus:http://www.scopus.com/authid/detail.url?authorId=55360981500]]
// Author ID (I-6758-2014 was changed from [[C-9919-2009:http://www.researcherid.com/rid/C-9919-2009]]) on Thomson Reuters database.
''4653'' {5484} total citations ; h-index ''33'' , {''36'' [[Scopus:http://www.scopus.com/authid/detail.url?authorId=55360981500]] }
// [[Publons>https://publons.com/researcher/2507368/kenji-ishikawa/]]    Author ID (I-6758-2014 was changed from [[C-9919-2009:http://www.researcherid.com/rid/C-9919-2009]]) on Thomson Reuters database.

Topics
-&color(white,blue){FC,HN};    Fluorocarbon plasmas and hydrogen-nitrogen mixture plasmas
-&color(white,brown){APP,PM};    Atmospheric pressure plasmas, Plasma agriculture, and Plasma medicine
-&color(white,green){dia,C,Si};    Plasma processes for diamond, nano-carbon and nanocrystalline silicon
-&color(black,pink){GaN};    Plasma processes for gallium nitrides
Topics    &color(white,blue){FC};    Fluorocarbon plasmas, &color(white,blue){HN};    hydrogen-nitrogen mixture plasmas, &color(white,brown){APP};    Atmospheric pressure plasmas, &color(white,brown){PA};    Plasma agriculture, and &color(white,brown){PM};    Plasma medicine, &color(white,green){dia};    Plasma processes for diamond, &color(white,green){C};    nano-carbon, &color(white,green){Si};    nanocrystalline silicon, &color(black,pink){GaN};    Gallium nitrides

Methods
-&color(black,yellow){IR};    Infrared spectroscopic studies
-&color(black,yellow){LIF};    Laser induced fluorescence
-&color(white,red){ESR};    Electron spin (Paramagnetic) resonance
-&color(black,orange){Beam};    Surface reactions under ion beam irradiation
-&color(black,cyan){QC};    Quantum chemical computation
Methods    &color(black,yellow){IR};    Infrared spectroscopic studies, &color(black,yellow){LIF};    Laser induced fluorescence, &color(white,red){ESR};    Electron spin (Paramagnetic) resonance, &color(black,orange){Beam};    Surface reactions under ion beam irradiation, &color(black,cyan){QC};    Quantum chemical computation

**2022
**2024

-[247] &color(white,red){OPEN};   Perspectives on functional nitrogen science and plasma-based in situ functionalization
--Japanese Journal of Applied Physics 61 (SA), SA0802 pp. 1-11 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac3558]]
---''Kenji Ishikawa''
-[289] &color(white,blue){FC};    Dissociative properties of C4F6 obtained using computational chemistry
--Japanese Journal of Applied Physics 63, 04SP26 pp. 1-5 (April, 2024). [[(DOI):https://doi.org/10.35848/1347-4065/ad3166]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[246] &color(white,red){OPEN};   Functional nitrogen science based on plasma processing: Quantum devices, photocatalysts and activation of plant defense and immune systems
--Japanese Journal of Applied Physics 61 (SA), SA0805 pp. 1-25 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac25dc]]
---Toshiro Kaneko, Hiromitsu Kato, Hideaki Yamada, Muneaki Yamamoto, Tomoko Yoshida, Pankaj Attri, Kazunori Koga, Tomoyuki Murakami, Kazuyuki Kuchitsu, Sugihiro Ando, Yasuhiro Nishikawa, Kentaro Tomita, Ryo Ono, Tsuyohito Ito, Atsushi M. Ito, Koji Eriguchi, Tomohiro Nozaki, Takayoshi Tsutsumi, and ''Kenji Ishikawa''
-[288] &color(white,green){C};    Low-temperature growth at 225 oC and characterization of carbon nanowalls synthesized by radical injection
plasma-enhanced chemical vapor deposition
--Vacuum 224, 113180 pp. 1-8 (2024). [[(DOI):https://doi.org/10.1016/j.vacuum.2024.113180]]
---Ngo Quang Minh, Ngo Van Nong, Osamu Oda, ''Kenji Ishikawa'', and Masaru Hori

-[245] &color(white,red){OPEN};   Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care
--Japanese Journal of Applied Physics 61 (SA), SA0808 pp 1-19 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac1c3d]]
---Shinya Kumagai, Chikako Nishigori, Tetsuya Takeuchi, Peter Bruggeman, Keisuke Takashima, Hideki Takahashi, Toshiro Kaneko, Eun Ha Choi, Makoto Kambara, Kazuo Nakazato, and ''Kenji Ishikawa''
-[287] &color(white,green){C};    Nitrogen admixture effects on growth characteristics and properties of carbon nanowalls
--Thin Solid Films 795, 140322 pp. 1-8 (March 30, 2024). [[(DOI):https://doi.org/10.1016/j.tsf.2024.140322]], [[(SSRN Preprint):https://doi.org/10.2139/ssrn.4606947]]
---Peter Raj Dennis Christy, Ngo Van Nong, Nikolay Britun, Ngo Quang Minh, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Osamu Oda, ''Kenji Ishikawa'' and Masaru Hori

-[244] &color(white,brown){PM};   Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma
--Japanese Journal of Applied Physics 61 (SA), SA1007 pp. 1-7 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac2037]]
---Hiromasa Tanaka, Shogo Matsumura, ''Kenji Ishikawa'', Hiroshi Hashizume, Masafumi Ito, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Mikako Ito, Kinji Ohno, Yasumasa Okazaki, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori 
-[286] &color(white,red){OPEN};    Plasma-driven sciences: Exploring complex interactions at plasma-boundaries
--Plasma (mdpi) 7 (1), pp. 160-177 (February 27, 2024). [[(DOI):https://doi.org/10.3390/plasma7010011]]
---''Kenji Ishikawa'', Kazunori Koga, and Noriyasu Ohno

**2021
-[285] &color(white,red){OPEN}; &color(white,brown){PM};    Elaborate Cooperation of Poly(rC)-binding Proteins 1/2 and Glutathione in Ferroptosis Induced by Plasma-activated Ringer' s Lactate
--Free Radical Biology and Medicine 214, pp. 28-41 (February 9, 2024). [[(DOI):https://doi.org/10.1016/j.freeradbiomed.2024.02.001]]
---Li Jiang, Hao Zheng, Moe Ishida, Qinying Lyu, Shinya Akatsuka, Yashiro Motooka, Kotaro Sato, Yoshitaka Sekido, Kae Nakamura, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, and Shinya Toyokuni

-[J10] &color(white,brown){PM};   プラズマによるがん治療-2021年の状況と将来展望- Plasma Cancer Therapy -The 2021 present status and future perspectives-
--静電気学会誌 45 (6), pp.206-212 (December, 2021) [[(web):http://www.iesj.org/publications/1/#_45]]
---''Kenji Ishikawa'', and Masaru Hori
-[284] &color(white,red){OPEN}; &color(white,brown){PA};    Oxygen radical irradiation transforms an organic fertilizer l-tryptophan into an environment and human-friendly bactericide
--Environmental Technology and Innovation 33, 103496 pp. 1-11 (February 1, 2023). [[(DOI):https://doi.org/10.1016/j.eti.2023.103496]], [[(Press release in Japanese):https://www.nagoya-u.ac.jp/researchinfo/result/2024/01/post-608.html]], [[(EurekAlert!):https://www.eurekalert.org/news-releases/1035699]], [[(NU Research Information):https://www.nagoya-u.ac.jp/researchinfo/result-en/2024/02/20240228-1.html]]
---Naoyuki Iwata, ''Kenji Ishikawa'', Yasuhiro Nishikawa, Hiroyuki Kato, Motoyuki Shimizu, Masashi Kato, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori

-[243] &color(white,blue){Etch}; &color(white,red){OPEN};   On the Etching Mechanism of Highly Hydrogenated SiN Films by CF4/D2 Plasma: Comparison with CF4/H2
--Coatings 11 (12), 1535 pp. 1-14 (December 14, 2021) [[(DOI):https://doi.org/10.3390/coatings11121535]]
---Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
-[283] &color(white,red){OPEN}; &color(white,blue){Etch};    Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO2 or OCS for high-aspect-ratio etching
--Applied Surface Science 645, 158876 pp. 1-6 (February 1, 2024) [[(DOI):https://doi.org/10.1016/j.apsusc.2023.158876]]
---''Kenji Ishikawa'', Thi-Thuy-Nga Nguyen, Yuta Aoki, Hiroyasu Sato, Junichi Kawakami, Shuji Tsuno, Shin-Nan Hsiao, and Masaru Hori

-[242] &color(white,blue){Etch};   Effects of hydrogen content in films on etching of LPCVD and PECVD SiN films using CF4/H2 plasma at different substrate temperatures
--Plasma Processes and Polymers 18 (11), 2100078 pp. 1-10 (December 15, 2021). [[(DOI):https://doi.org/10.1002/ppap.202100078]]
---Shih-Nan Hsaio, Nicolay Britun, Thu-Thiy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
-[282] &color(white,red){ESR};    High linear energy transfer (LET) nature of alanine radical yield by soft X-ray irradiations studied by electron spin resonance (ESR) applications
--Radiation Physics and Chemistry 214, 111304 pp. 1-6 (January, 2024). [[(DOI):https://doi.org/10.1016/j.radphyschem.2023.111304]]
---Seiko Nakagawa, Akinari Yokoya, Maki Ohara, Noriko Usami, Mizue Asada, Motoyasu Fujiwara, Toshikazu Nakamura, ''Kenji Ishikawa''

-[J9] &color(white,brown){PM};   大気圧プラズマによるフリーラジカル生成と生物影響 Effects of free radicals generated by atmospheric pressure plasma on physical actions and biochemical relaxations (PACR)
--放射線生物研究 Radiation Biology Research Communications 56 (3), pp. 280-294 (October, 2021) [[(Web):http://rbrc.kenkyuukai.jp/special/index.asp?id=36664]]
---''Kenji Ishikawa'', Hiroshi Hashizume, Camelia Miron, Hiromasa Tanaka, and Masaru Hori 
**2023

-[J8] 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス
--プラズマ・核融合学会誌 97 (9), pp. 517-521 (September 30, 2021) (in Japanese) [[(pdf):http://www.jspf.or.jp/Journal/PDF_JSPF/jspf2021_09/jspf2021_09-517.pdf]]
---Takayoshi Tsutsumi, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori (堤 隆嘉,''石川 健治'',近藤 博基,関根 誠,堀 勝)
-[281] &color(white,red){OPEN}; &color(white,blue){Etch};    Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6
--Applied Surface Science 638, 157981 pp. 1-14 (November 30, 2023). [[(DOI):https://doi.org/10.1016/j.apsusc.2023.157981]]
---Taito Yoshie, ''Kenji Ishikawa'', Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori

-[J7] 小特集 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 6.おわりに
--プラズマ・核融合学会誌 97 (9), pp. 534-536 (September 30, 2021) (in Japanese)  [[(pdf):http://www.jspf.or.jp/Journal/PDF_JSPF/jspf2021_09/jspf2021_09-534.pdf]]
---''Kenji Ishikawa'', and Keigo Takeda (''石川 健治'',竹田 圭吾)
-[280] &color(white,blue){Etch};      An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases
--Applied Physics Letters 123, 212106 pp. 1-6 (November 21, 2023) [[(DOI):https://doi.org/10.1063/5.0173553]]
---Shih-Nan Hsiao, Makoto Sekine, ''Kenji Ishikawa'', Yuki Iijima, Yoshinobu Ohya, and Masaru Hori

-[J6] 小特集 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 1.はじめに
--プラズマ・核融合学会誌 97 (9), pp. 508-510 (September 30, 2021)  (in Japanese) [[(pdf):http://www.jspf.or.jp/Journal/PDF_JSPF/jspf2021_09/jspf2021_09-508.pdf]]
---''Kenji Ishikawa'', and Keigo Takeda (''石川 健治'',竹田 圭吾)
-[279] &color(black,pink){GaN};    Study of the behavior of trimethyl gallium and triethyl gallium by optical emission spectroscopy and quadrupole mass spectroscopy for the growth of GaN by REMOCVD (Radical-Enhanced Metalorganic Chemical Vapor Deposition)
--Japanese Journal of Applied Physics 62 (SN), SN1019 pp. 1-7 (November 2, 2023). [[(DOI):https://doi.org/10.35848/1347-4065/acfd34]]
---Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, ''Kenji Ishikawa'', and Masaru Hori

-[241] &color(white,red){OPEN}; &color(white,brown){PM};    Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified
--Scientific Reports 11, 18488 pp.1-10 (September 16, 2021). [[DOI:https://doi.org/10.1038/s41598-021-98020-w]]
---Hiromasa Tanaka, Yugo Hosoi, ''Kenji Ishikawa'', Jun Yoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
-[278] &color(white,green){C};    Deposition of carbon-based materials directly on copper foil and nickel foam as 2D and 3D-networked metal substrates by in-liquid plasma
--Plasma Processes and Polymers 20 (11), 2300036 pp.1-13 (November 1, 2023). [[(DOI):https://doi.org/10.1002/ppap.202300036]]
---Ma. Shanlene D.C. Dela Vega, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[240] &color(white,brown){PM};   Plasma-activated Ringer's lactate solution inhibits TCA cycle and glycolysis in HeLa cells
--Plasma Processes and Polymers 18 (10), 2100056 (pp.1-11) (October, 2021). [[DOI:https://doi.org/10.1002/ppap.202100056]]
---Hiromasa Tanaka, Shogo Maeda, Kae Nakamura, Hiroshi Hashizume, ''Kenji Ishikawa'', Mikako Ito, Kinji Ohno, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
-[277] &color(white,red){OPEN}; &color(white,brown){PM};      Generation and measurement of low-temperature plasma for cancer therapy: A historical review
--Free Radical Research 57 (3), pp. 239-270 (July, 2023). [[(DOI):https://doi.org/10.1080/10715762.2023.2230351]]
---''Kenji Ishikawa'', Keigo Takeda, Shinji Yoshimura, Takashi Kondo, Hiromasa Tanaka, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, and Masaru Hori

-[239] Novel Method of Rebound Tailing Pulse for Water Dissociation
--IEEE Transactions on Plasma Science 49 (9), pp. 2893-2900 (September, 2021). [[DOI:https://doi.org/10.1109/TPS.2021.3102639]]
---Naohiro Shimizu, Ranjit R. Borude, Reiko Tanaka, ''Kenji Ishikawa'', Osamu Oda, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue, and Masaru Hori
-[276] &color(white,brown){PA};   Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer’s rice cultivar Yamadanishiki
--Free Radical Research 57 (3), pp. 161-173 (July, 2023) [[(DOI):https://doi.org/10.1080/10715762.2023.2215914]]
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

-[238] &color(white,red){OPEN}; &color(white,brown){PM};   Brain cell proliferation in adult rats after irradiation with non-equilibrium atmospheric pressure plasma
--Applied Physics Express 14 (6), 067002 pp. 1-6 (2021). [[DOI:https://doi.org/10.35848/1882-0786/ac03c1]]
---Masanori Yamato, Yasuhisa Tamura, Hiromasa Tanaka, ''Kenji Ishikawa'', Yuzuru Ikehara, Masaru Hori, and Yosky Kataoka
-[275] &color(white,brown){PM};   Cancer-specific cytotoxicity of Ringer's acetate solution irradiated by cold atmospheric pressure plasma
--Free Radical Research 57 (2), pp. 91-104 (June, 2023) [[(DOI):https://doi.org/10.1080/10715762.2023.2201390]]
---Camelia Miron, ''Kenji Ishikawa'', Satoshi Kashiwagura, Yuki Suda, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Masaki Mizuno, and Masaru Hori

-[237] &color(white,brown){PM};   Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer’s lactate
--Redox Biology 43, 101989 pp.1-12 (July, 2021) [[DOI:https://doi.org/10.1016/j.redox.2021.101989]] (Impact factor 9.986)
---Li Jiang, Hao Zheng, Qinying Lyu, Shotaro Hayashi, Kotaro Sato, Yoshitaka, Sekido, Kae Nakamura, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, and Shinya Toyokuni	
-[274] &color(white,brown){APP};    Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets
--Japanese Journal of Applied Physics 62 (SL), SL1011 pp. 1-5 (June 8, 2023). [[(DOI):https://doi.org/10.35848/1347-4065/acd4ca]]
---Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzukka, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[236] &color(white,brown){APP};   Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma
--Plasma Sources Science and Technology 30 (4), 04LT03 pp. 1-7 (April 28, 2020) [[DOI:https://doi.org/10.1088/1361-6595/abbbd4]] 
---Yang Liu, ''Kenji Ishikawa'', Camelia Miron, Hiroshi Hashizume, Hiromasa Tanaka, and Masaru Hori
-[P15] &color(white,blue){Etch};    Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool
--SPIE proceedings: Advanced Etch Technology and Process Integration for Nanopatterning XII 12499, 124990E (2023) [[(DOI):https://doi.org/10.1117/12.2664547]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori

-[235] &color(white,red){OPEN};   Impact of seed color and storage time on the radish seed germination and sprout growth in plasma agriculture
--Scientific Reports 11, 2539 pp. 1-10 (Janurary 28, 2021). [[DOI:https://doi.org/10.1038/s41598-021-81175-x]]
---Pankaj Attri, ''Kenji Ishikawa'', Takamasa Okumura, Kazunori Koga, Masaharu Shiratani, and Vida Mildaziene
-[273] &color(white,brown){PM};   Organic decomposition and synthesis reactions in lactated solution exposed to non-equilibrium atmospheric pressure plasma
--Plasma Processes and Polymers 20 (5) 2200193 pp. 1-14 (May 1, 2023). [[(DOI):https://doi.org/10.1002/ppap.202200193]]
---Yang Liu, ''Kenji Ishikawa'', Hiromasa Tanaka, Camelia Miron, Takashi Kondo, Kae Nakamura, Masaaki Mizuno, Hiroaki Kajiyama, Shinya Toyokuni, and Masaru Hori

-[234] &color(white,blue){Etch};   Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H2 plasma
--Applied Surface Science 542, 148550 (pp.1-8) (March 15, 2021). [[DOI:https://doi.org/10.1016/j.apsusc.2020.148550]]
---Shih-Nan Hsiao, Kazuya Nakane, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
-[272] Leukocyte depletion and size-based enrichment of circulating tumor cells using a pressure-sensing microfiltration device
--ACS Measuremt Science Au 3 (2), pp. 113–119 (April 19, 2023). [[(DOI):https://doi.org/10.1021/acsmeasuresciau.2c00057]]
--- Daisuke Onoshima, Tetsunari Hase, Naoto Kihara, Daiki Kuboyama, Hiromasa Tanaka, Naoya Ozawa, Hiroshi Yukawa, Mitsuo Sato, ''Kenji Ishikawa'', Yoshinori Hasegawa, Makoto Ishii, Masaru Hori, and Yoshinobu Baba 

-[233] &color(white,blue){Etch};   Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar
--Applied Surface Science 541, 148439 (pp.1-8) (March 1, 2021). [[DOI:https://doi.org/10.1016/j.apsusc.2020.148439]]
---Shih-Nan Hsiao, ''Kenji Ishikawa'', Toshio Hayashi, Jiwei Ni, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
-[271] &color(white,blue){Etch};    Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma
--Vacuum 210, 111863 pp. 1-10 (April 1, 2023). [[(DOI):https://doi.org/10.1016/j.vacuum.2023.111863]]
---Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[232] &color(white,red){Free};   Growth inhibition effect on Trypanosoma brucei gambiense by the oxidative stress supplied from low-temperature plasma at atmospheric pressure
--Selected Topics in Applied Physics in Japanese Journal of Applied Physics 60 (2), 020601 (pp.1-12) (February 1, 2021). [[DOI:https://doi.org/10.35848/1347-4065/abd464]]
---Naoaki Yokoyama, Thillaiampalam Sivakumar, Sanae Ikehara, Yoshihiro Akimoto, Takashi Yamaguchi, Ken Wakai, ''Kenji Ishikawa'', Masaru Hori, Tetsuji Shimizu, Hajime Sakakita, and Yuzuru Ikehara
-[270]  High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts 
--Scientific Reports 13, 4130 pp. 1-9 (March 13, 2023). [[(DOI):https://doi.org/10.1038/s41598-023-31265-9]]  Research Square [[(Preprint):https://doi.org/10.21203/rs.3.rs-1832284/v1]]
---Hiromasa Tanaka, Daijiro Iwata, Yuki Shibata, Tetsunari Hase, Daisuke Onoshima, Naoyuki Yogo, Hirofumi Shibata, Mitsuo Sato, ''Kenji Ishikawa'', Ikuo Nagasawa, Yoshinori Hasegawa, Makoto Ishii, Yoshinobu Baba, and Masaru Hori

-[231] &color(white,red){OPEN};   Effects of carbon nanowalls (CNWs) substrates on soft ionization of low-molecular-weight organic compounds in surface-assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS)
-- Nanomaterials 11 (2), 262 (pp. 1-11) (January 20, 2021). [[DOI:https://doi.org/10.3390/nano11020262]]
---Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, ''Kenji Ishikawa'', Naohiro Shimizu, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori
-[269] &color(white,brown){PM};  Plasma activated Ringer's lactate solution
--Free Radical Research 57 (1), pp. 14-20 (March 1, 2023) [[(DOI):https://doi.org/10.1080/10715762.2023.2182663]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, and Masaru Hori

-[230] &color(white,brown){PA};   Improvement of yield and grain quality by periodic cold-plasma treatment with rice plants in paddy field
--Plasma Processes and Polymers 18 (1), e2000181 (pp. 1-11) (January 14, 2021) [[DOI:https://doi.org/10.1002/ppap.202000181]] 
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
-[268] &color(white,brown){PA};    Plasma-assisted priming: improved germination and seedling performance of papaya
--Sains Malaysiana 52 (2) pp. 599-611 (February 2023). [[(DOI):https://doi.org/10.17576/jsm-2023-5202-21]]
---Deng-Ke Xi, Seong Ling Yap, Nitturi Naresh Kumar, Chian Cheng Toh, ''Kenji Ishikawa'', and Masaru Hori

-[230'] &color(white,brown){PA};   Inside Front Cover: Plasma Process. Polym. 1/2021
--Plasma Processes and Polymers 18 (1), 2170002 (p. 1) (January 14, 2021) [[DOI:https://doi.org/10.1002/ppap.202170002]] 
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
-[267] &color(white,red){OPEN};    Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies
--Japanese Journal of Applied Physics 62 (SA), SA0803 pp. 1-37 (February 2023). [[(DOI):https://doi.org/10.35848/1347-4065/ac9189]]
---Makoto Kambara, Satoru Kawaguchi, Hane June Lee, Kazumasa Ikuse, Satoshi Hamaguchi, Takeshi Ohmori, and ''Kenji Ishikawa''

-[229] Reduction in photon-induced interface defects by optimal pulse repetition rate in the pulse-modulated inductively coupled plasma
--Japanese Journal of Applied Physics 60 (1), 010906 (pp.1-6) (January 1, 2021). [[DOI:https://doi.org/10.35848/1347-4065/abd113]]
---Yasufumi Miyoshi, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi
-[266] &color(white,brown){PM};   Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds
--Japanese Journal of Applied Physics 62 (SA), SA1017 pp. 1-10 (February 2023). [[(DOI):https://doi.org/10.35848/1347-4065/ac9319]]
---Koki Ono, Takashi Koide, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroki Kondo, Ayae Sugawara-Narutaki, Yong Jin, Shigeo Yasuhara, Masaru Hori, and Wakana Takeuchi

-[265] &color(black,pink){GaN};    Thermal cyclic etching of GaN using sequential exposures of Cl2 plasma and Ar plasma at substrate temperature of 400°C
--Journal of Applied Physics 133, 043302 pp. 1-11 (January 24, 2023). [[(DOI):https://doi.org/10.1063/5.0131685]]
---Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, ''Kenji Ishikawa'', Makoto Sekine, Osamu Oda, and Masaru Hori

-[264] &color(white,green){C};    Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method
--Materials Science and Engineering A 862, 144428 pp. 1-8 (January 18, 2023). [[(DOI):https://doi.org/10.1016/j.msea.2022.144428]]
---Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, ''Kenji Ishikawa'', Osamu Oda, Noritsugu Umehara, and Masaru Hori

-[263] &color(white,red){OPEN}; &color(white,brown){PM};   Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity
--Genes and Environment 45, 3 pp. 1-10 (January 13, 2023) [[(DOI):https://doi.org/10.1186/s41021-023-00260-x]]
---Yang Liu, Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, ''Kenji Ishikawa'', Masaharu Shiratani, and Masaru Hori 

**Before 2022

Go to [[Old publications>Publication2]]

----

**Selected publications in old publications

-[233] &color(white,blue){Etch};   Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar
--Applied Surface Science 541, 148439 (pp.1-8) (March 1, 2021). [[(DOI):https://doi.org/10.1016/j.apsusc.2020.148439]]
---Shih-Nan Hsiao, ''Kenji Ishikawa'', Toshio Hayashi, Jiwei Ni, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori

-[228] &color(white,red){Free};   Insights into normothermic treatment with direct irradiation of atmospheric pressure plasma for biological applications
--Selected Topics in Applied Physics in Japanese Journal of Applied Physics 60, 010502 (pp.1-12) (January, 2021).  [[DOI:https://doi.org/10.35848/1347-4065/abcbd2]] 
--Japanese Journal of Applied Physics 60, 010502 (pp.1-12) (January, 2021).  [[(DOI):https://doi.org/10.35848/1347-4065/abcbd2]] in Selected Topics in Applied Physics
---Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, and ''Kenji Ishikawa''

-[227] &color(white,red){Free};   Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma
--Selected Topics in Applied Physics in Japanese Journal of Applied Physics 60, 010503 (pp. 1-15) (January, 2021). [[DOI:https://doi.org/10.35848/1347-4065/abcbd1]] 
---Masafumi Ito, Hiroshi Hashizume,  Jun-Seok Oh, ''Kenji Ishikawa'', Takayuki Ohta, and Masaru Hori
-[215] &color(white,brown){PM}; Non-thermal plasma–activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism
--Archives of Biochemistry and Biophysics 688, 108414 (pp. 1-9) (July 30, 2020).  [[(DOI):https://doi.org/10.1016/j.abb.2020.108414]]
---''Kenji Ishikawa'', Yugo Hosoi, Hiromasa Tanaka, Li Jiang, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[183] &color(white,brown){PM};    Nonthermal plasma-activated medium (PAM) modified metabolomic profiles in glycolysis of U251SP glioblastoma
--Archives of Biochemistry and Biophysics 662, pp.83-92 (February 15, 2019)    [[(DOI):https://doi.org/10.1016/j.abb.2018.12.001]]
---Naoyuki Kurake, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

**Old selected
-[182] &color(white,brown){PM};    Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources
--Journal of Physics D:Applied Physics 52 (16), 165202 (pp. 1-13) (February 15, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/aaff44]]
---Keigo Takeda, Hiromasa Yamada, ''Kenji Ishikawa'', Hajime Sakakita, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Yoshihiro Akimoto, Yosky Kataoka, Naoaki Yokoyama, Yuzuru Ikehara, and Masaru Hori

-[161] &color(white,red){OPEN};    &color(white,blue){Etch};    Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?
--Japanese Journal of Applied Physics 57 (6S2), 06JA01 (pp. 1-18) (May 25, 2018).    [[DOI:https://doi.org/10.7567/JJAP.57.06JA01]]
--Japanese Journal of Applied Physics 57 (6S2), 06JA01 (pp. 1-18) (May 25, 2018).    [[(DOI):https://doi.org/10.7567/JJAP.57.06JA01]]
---''Kenji Ishikawa'', Kazuhiro Karahashi, Tatsuo Ishijima, Sung Il Cho, Simon Elliott, Dennis Hausmann, Dan Mocuta, Aaron Wilson, and Keizo Kinoshita

-[130] &color(white,blue){Etch};    Progress and prospects in nanoscale dry processes - How can we control atomic layer reactions?
--Japanese Journal of Applied Physics 56 (6S2), 06HA02 (pp. 1-13) (June 1, 2017). Progress Review of DPS special issue.    [[DOI:https://doi.org/10.7567/JJAP.56.06HA02]] 
--Japanese Journal of Applied Physics 56 (6S2), 06HA02 (pp. 1-13) (June 1, 2017). Progress Review of DPS special issue.    [[(DOI):https://doi.org/10.7567/JJAP.56.06HA02]] 
---''Kenji Ishikawa'', Kazuhiro Karahashi, Takanori Ichiki, Jane P. Chang, Steven M. George, W. M. M. Kessels, Hae June Lee, Stefen Tinck, Jung Hwan Um, and Keizo Kinoshita

-[116] &color(white,red){OPEN};    &color(white,brown){PM};    Non-thermal atmospheric pressure plasma activates lactate in Ringer’s solution for anti-tumor effects
--Scientific Reports 6, 36282 (pp. 1-11) (November 8, 2016).    [[DOI:https://doi.org/10.1038/srep36282]]
---Hiromasa Tanaka, Kae Nakamura, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroaki Kajiyama, Fumi Utsumi, Fumitaka Kikkawa, and Masaru Hori

-[112] &color(white,brown){PA};    Cold plasma interactions with enzymes in foods and model systems
--Trends in Food Science & Technology 55, pp. 39-47 (September 1, 2016).    [[DOI:https://doi.org/10.1016/j.tifs.2016.07.001]]
--Trends in Food Science & Technology 55, pp. 39-47 (September 1, 2016).    [[(DOI):https://doi.org/10.1016/j.tifs.2016.07.001]]
---N. N. Misra, S. K. Pankaj, Annalisa Segat, and ''Kenji Ishikawa''

-[111] &color(white,brown){PM};    Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium 
--Archives of Biochemistry and Biophysics 605, pp. 102-108 (September 1, 2016).    [[DOI:https://doi.org/10.1016/j.abb.2016.01.011]]
--Archives of Biochemistry and Biophysics 605, pp. 102-108 (September 1, 2016).    [[(DOI):https://doi.org/10.1016/j.abb.2016.01.011]]
---Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[98] &color(white,red){OPEN};    &color(white,brown){PM};    EPR-Spin Trapping and Flow cytometric Studies of Free Radicals Generated using Cold Atmospheric Argon Plasma and X-ray irradiation in Aqueous Solutions and Intracellular Milieu
--PLoS One 10 (8), e0136956 (pp. 1-19) (August 28, 2015).    [[DOI:https://doi.org/10.1371/journal.pone.0136956]]
---Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ali Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Takashi Kondo

-[81] &color(white,red){Free};    &color(white,brown){PM};    Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells.
--Plasma Medicine 2 (4), pp. 207-220 (July 2014).    [[DOI:https://doi.org/10.1615/PlasmaMed.2013008267]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

-[74] &color(white,green){C};    Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties
--Carbon 68, pp. 380-388 (March 2014).    [[DOI:https://doi.org/10.1016/j.carbon.2013.11.014]]
---Hyung Jun Cho, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[71] &color(white,red){OPEN};    &color(white,brown){PM};    Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo
--PLoS ONE 8 (12), e81576 (pp. 1-10) (December 18, 2013).    [[DOI:https://doi.org/10.1371/journal.pone.0081576]]
---Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

-[44] &color(white,red){ESR};    &color(white,brown){PA};    Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas
--Applied Physics Letters 101 (1), 013704 (pp. 1-4) (July 2, 2012).    [[DOI:https://doi.org/10.1063/1.4733387]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6917]]
--Applied Physics Letters 101 (1), 013704 (pp. 1-4) (July 2, 2012).    [[(DOI):https://doi.org/10.1063/1.4733387]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6917]]
---''Kenji Ishikawa'', Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[34] &color(white,red){ESR};    Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study
--Journal of Physical Chemistry Letters 2, pp. 1278-1281 (2011).    [[DOI:https://doi.org/10.1021/jz2002937]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6920]]
--Journal of Physical Chemistry Letters 2, pp. 1278-1281 (2011).    [[(DOI):https://doi.org/10.1021/jz2002937]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6920]]
---''Kenji Ishikawa'', Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori 

**Before 2019
**Before 2021

Go to [[Old publications>Publication2]]

#include(Footer,notitle)