Publication のバックアップソース(No.35)

#author("2022-02-19T15:07:16+09:00","default:ishikawa","ishikawa")
* Publication

Total 283 peer-reviewed papers (journal 250 papers + 10 paper in Japanese + 14 peer-reviewed proceedings + 9 other papers); 

''3313'' total citations ; h-index ''29'' [[Publons>https://publons.com/researcher/2507368/kenji-ishikawa/]], [[Scopus:http://www.scopus.com/authid/detail.url?authorId=55360981500]]
// Author ID (I-6758-2014 was changed from [[C-9919-2009:http://www.researcherid.com/rid/C-9919-2009]]) on Thomson Reuters database.

Topics
-&color(white,blue){FC,HN};    Fluorocarbon plasmas and hydrogen-nitrogen mixture plasmas
-&color(white,brown){APP,PM};    Atmospheric pressure plasmas, Plasma agriculture, and Plasma medicine
-&color(white,green){dia,C,Si};    Plasma processes for diamond, nano-carbon and nanocrystalline silicon
-&color(black,pink){GaN};    Plasma processes for gallium nitrides

Methods
-&color(black,yellow){IR};    Infrared spectroscopic studies
-&color(black,yellow){LIF};    Laser induced fluorescence
-&color(white,red){ESR};    Electron spin (Paramagnetic) resonance
-&color(black,orange){Beam};    Surface reactions under ion beam irradiation
-&color(black,cyan){QC};    Quantum chemical computation

**2022

-[250] &color(white,red){OPEN}; &color(white,brown){PM};   Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells
--AIP advances 12, 025216 (pp. 1-6) (2022) [[(DOI):https://doi.org/10.1063/5.0075530]]
---Tomonori Ichikawa, ''Kenji Ishikawa'', Hiromasa Tanaka, Naohiro Shimizu, and Masaru Hori

-[249] &color(white,blue){Etch};    Plasma-assisted, thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride
--Journal of Vacuum Science and Technology B 40, 022201 (pp.1-11) (February 4, 2022). [[(DOI):https://doi.org/10.1116/6.0001660]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Yuko Hanaoka, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori

-[248] &color(white,red){OPEN};   Perspectives on functional nitrogen science and plasma-based in situ functionalization
--Japanese Journal of Applied Physics 61 (SA), SA0802 pp. 1-11 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac3558]]
---''Kenji Ishikawa''

-[247] &color(white,red){OPEN};   Functional nitrogen science based on plasma processing: Quantum devices, photocatalysts and activation of plant defense and immune systems
--Japanese Journal of Applied Physics 61 (SA), SA0805 pp. 1-25 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac25dc]]
---Toshiro Kaneko, Hiromitsu Kato, Hideaki Yamada, Muneaki Yamamoto, Tomoko Yoshida, Pankaj Attri, Kazunori Koga, Tomoyuki Murakami, Kazuyuki Kuchitsu, Sugihiro Ando, Yasuhiro Nishikawa, Kentaro Tomita, Ryo Ono, Tsuyohito Ito, Atsushi M. Ito, Koji Eriguchi, Tomohiro Nozaki, Takayoshi Tsutsumi, and ''Kenji Ishikawa''

-[246] &color(white,red){OPEN};   Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care
--Japanese Journal of Applied Physics 61 (SA), SA0808 pp 1-19 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac1c3d]]
---Shinya Kumagai, Chikako Nishigori, Tetsuya Takeuchi, Peter Bruggeman, Keisuke Takashima, Hideki Takahashi, Toshiro Kaneko, Eun Ha Choi, Makoto Kambara, Kazuo Nakazato, and ''Kenji Ishikawa''

-[245] &color(white,brown){PM};   Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma
--Japanese Journal of Applied Physics 61 (SA), SA1007 pp. 1-7 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac2037]]
---Hiromasa Tanaka, Shogo Matsumura, ''Kenji Ishikawa'', Hiroshi Hashizume, Masafumi Ito, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Mikako Ito, Kinji Ohno, Yasumasa Okazaki, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori 

**2021

-[J10] &color(white,brown){PM};   プラズマによるがん治療-2021年の状況と将来展望- Plasma Cancer Therapy -The 2021 present status and future perspectives-
--静電気学会誌 45 (6), pp.206-212 (December, 2021) [[(web):http://www.iesj.org/publications/1/#_45]]
---''Kenji Ishikawa'', and Masaru Hori

-[244] &color(white,blue){Etch}; &color(white,red){OPEN};   On the Etching Mechanism of Highly Hydrogenated SiN Films by CF4/D2 Plasma: Comparison with CF4/H2
--Coatings 11 (12), 1535 pp. 1-14 (December 14, 2021) [[(DOI):https://doi.org/10.3390/coatings11121535]]
---Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[243] &color(white,blue){Etch};   Effects of hydrogen content in films on etching of LPCVD and PECVD SiN films using CF4/H2 plasma at different substrate temperatures
--Plasma Processes and Polymers 18 (11), 2100078 pp. 1-10 (December 15, 2021). [[(DOI):https://doi.org/10.1002/ppap.202100078]]
---Shih-Nan Hsaio, Nicolay Britun, Thu-Thiy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[J9] &color(white,brown){PM};   大気圧プラズマによるフリーラジカル生成と生物影響 Effects of free radicals generated by atmospheric pressure plasma on physical actions and biochemical relaxations (PACR)
--放射線生物研究 Radiation Biology Research Communications 56 (3), pp. 280-294 (October, 2021) [[(Web):http://rbrc.kenkyuukai.jp/special/index.asp?id=36664]]
---''Kenji Ishikawa'', Hiroshi Hashizume, Camelia Miron, Hiromasa Tanaka, and Masaru Hori 

-[J8] 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス
--プラズマ・核融合学会誌 97 (9), pp. 517-521 (September 30, 2021) (in Japanese) [[(pdf):http://www.jspf.or.jp/Journal/PDF_JSPF/jspf2021_09/jspf2021_09-517.pdf]]
---Takayoshi Tsutsumi, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori (堤 隆嘉,''石川 健治'',近藤 博基,関根 誠,堀 勝)

-[J7] 小特集 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 6.おわりに
--プラズマ・核融合学会誌 97 (9), pp. 534-536 (September 30, 2021) (in Japanese)  [[(pdf):http://www.jspf.or.jp/Journal/PDF_JSPF/jspf2021_09/jspf2021_09-534.pdf]]
---''Kenji Ishikawa'', and Keigo Takeda (''石川 健治'',竹田 圭吾)

-[J6] 小特集 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 1.はじめに
--プラズマ・核融合学会誌 97 (9), pp. 508-510 (September 30, 2021)  (in Japanese) [[(pdf):http://www.jspf.or.jp/Journal/PDF_JSPF/jspf2021_09/jspf2021_09-508.pdf]]
---''Kenji Ishikawa'', and Keigo Takeda (''石川 健治'',竹田 圭吾)

-[242] &color(white,red){OPEN}; &color(white,brown){PM};    Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified
--Scientific Reports 11, 18488 pp.1-10 (September 16, 2021). [[DOI:https://doi.org/10.1038/s41598-021-98020-w]]
---Hiromasa Tanaka, Yugo Hosoi, ''Kenji Ishikawa'', Jun Yoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

-[241] &color(white,brown){PM};   Plasma-activated Ringer's lactate solution inhibits TCA cycle and glycolysis in HeLa cells
--Plasma Processes and Polymers 18 (10), 2100056 (pp.1-11) (October, 2021). [[DOI:https://doi.org/10.1002/ppap.202100056]]
---Hiromasa Tanaka, Shogo Maeda, Kae Nakamura, Hiroshi Hashizume, ''Kenji Ishikawa'', Mikako Ito, Kinji Ohno, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

-[240] Novel Method of Rebound Tailing Pulse for Water Dissociation
--IEEE Transactions on Plasma Science 49 (9), pp. 2893-2900 (September, 2021). [[DOI:https://doi.org/10.1109/TPS.2021.3102639]]
---Naohiro Shimizu, Ranjit R. Borude, Reiko Tanaka, ''Kenji Ishikawa'', Osamu Oda, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue, and Masaru Hori

-[239] &color(white,red){OPEN}; &color(white,brown){PM};   Brain cell proliferation in adult rats after irradiation with non-equilibrium atmospheric pressure plasma
--Applied Physics Express 14 (6), 067002 pp. 1-6 (2021). [[DOI:https://doi.org/10.35848/1882-0786/ac03c1]]
---Masanori Yamato, Yasuhisa Tamura, Hiromasa Tanaka, ''Kenji Ishikawa'', Yuzuru Ikehara, Masaru Hori, and Yosky Kataoka

-[238] &color(white,brown){PM};   Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer’s lactate
--Redox Biology 43, 101989 pp.1-12 (July, 2021) [[DOI:https://doi.org/10.1016/j.redox.2021.101989]] (Impact factor 9.986)
---Li Jiang, Hao Zheng, Qinying Lyu, Shotaro Hayashi, Kotaro Sato, Yoshitaka, Sekido, Kae Nakamura, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, and Shinya Toyokuni	

-[237] &color(white,brown){PM};   Cancer treatments using low-temperature plasma
--Current Medicinal Chemistry 28 (41), pp. 8549 - 8558 (June 29, 2021) [[DOI:https://doi.org/10.2174/0929867328666210629121731]] e-pub ahead
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

-[236] &color(white,brown){APP};   Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma
--Plasma Sources Science and Technology 30 (4), 04LT03 pp. 1-7 (April 28, 2020) [[DOI:https://doi.org/10.1088/1361-6595/abbbd4]] 
---Yang Liu, ''Kenji Ishikawa'', Camelia Miron, Hiroshi Hashizume, Hiromasa Tanaka, and Masaru Hori

-[235] &color(white,red){OPEN};   Impact of seed color and storage time on the radish seed germination and sprout growth in plasma agriculture
--Scientific Reports 11, 2539 pp. 1-10 (Janurary 28, 2021). [[DOI:https://doi.org/10.1038/s41598-021-81175-x]]
---Pankaj Attri, ''Kenji Ishikawa'', Takamasa Okumura, Kazunori Koga, Masaharu Shiratani, and Vida Mildaziene

-[234] &color(white,blue){Etch};   Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H2 plasma
--Applied Surface Science 542, 148550 (pp.1-8) (March 15, 2021). [[DOI:https://doi.org/10.1016/j.apsusc.2020.148550]]
---Shih-Nan Hsiao, Kazuya Nakane, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[233] &color(white,blue){Etch};   Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar
--Applied Surface Science 541, 148439 (pp.1-8) (March 1, 2021). [[DOI:https://doi.org/10.1016/j.apsusc.2020.148439]]
---Shih-Nan Hsiao, ''Kenji Ishikawa'', Toshio Hayashi, Jiwei Ni, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori

-[232] &color(white,red){Free};   Growth inhibition effect on Trypanosoma brucei gambiense by the oxidative stress supplied from low-temperature plasma at atmospheric pressure
--Selected Topics in Applied Physics in Japanese Journal of Applied Physics 60 (2), 020601 (pp.1-12) (February 1, 2021). [[DOI:https://doi.org/10.35848/1347-4065/abd464]]
---Naoaki Yokoyama, Thillaiampalam Sivakumar, Sanae Ikehara, Yoshihiro Akimoto, Takashi Yamaguchi, Ken Wakai, ''Kenji Ishikawa'', Masaru Hori, Tetsuji Shimizu, Hajime Sakakita, and Yuzuru Ikehara

-[231] &color(white,red){OPEN};   Effects of carbon nanowalls (CNWs) substrates on soft ionization of low-molecular-weight organic compounds in surface-assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS)
-- Nanomaterials 11 (2), 262 (pp. 1-11) (January 20, 2021). [[DOI:https://doi.org/10.3390/nano11020262]]
---Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, ''Kenji Ishikawa'', Naohiro Shimizu, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori

-[230] &color(white,brown){PA};   Improvement of yield and grain quality by periodic cold-plasma treatment with rice plants in paddy field
--Plasma Processes and Polymers 18 (1), e2000181 (pp. 1-11) (January 14, 2021) [[DOI:https://doi.org/10.1002/ppap.202000181]] 
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

-[230'] &color(white,brown){PA};   Inside Front Cover: Plasma Process. Polym. 1/2021
--Plasma Processes and Polymers 18 (1), 2170002 (p. 1) (January 14, 2021) [[DOI:https://doi.org/10.1002/ppap.202170002]] 
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

-[229] Reduction in photon-induced interface defects by optimal pulse repetition rate in the pulse-modulated inductively coupled plasma
--Japanese Journal of Applied Physics 60 (1), 010906 (pp.1-6) (January 1, 2021). [[DOI:https://doi.org/10.35848/1347-4065/abd113]]
---Yasufumi Miyoshi, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi

-[228] &color(white,red){Free};   Insights into normothermic treatment with direct irradiation of atmospheric pressure plasma for biological applications
--Selected Topics in Applied Physics in Japanese Journal of Applied Physics 60, 010502 (pp.1-12) (January, 2021).  [[DOI:https://doi.org/10.35848/1347-4065/abcbd2]] 
---Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, and ''Kenji Ishikawa''

-[227] &color(white,red){Free};   Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma
--Selected Topics in Applied Physics in Japanese Journal of Applied Physics 60, 010503 (pp. 1-15) (January, 2021). [[DOI:https://doi.org/10.35848/1347-4065/abcbd1]] 
---Masafumi Ito, Hiroshi Hashizume,  Jun-Seok Oh, ''Kenji Ishikawa'', Takayuki Ohta, and Masaru Hori


**Old selected

-[161] &color(white,red){OPEN};    &color(white,blue){Etch};    Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?
--Japanese Journal of Applied Physics 57 (6S2), 06JA01 (pp. 1-18) (May 25, 2018).    [[DOI:https://doi.org/10.7567/JJAP.57.06JA01]]
---''Kenji Ishikawa'', Kazuhiro Karahashi, Tatsuo Ishijima, Sung Il Cho, Simon Elliott, Dennis Hausmann, Dan Mocuta, Aaron Wilson, and Keizo Kinoshita

-[130] &color(white,blue){Etch};    Progress and prospects in nanoscale dry processes - How can we control atomic layer reactions?
--Japanese Journal of Applied Physics 56 (6S2), 06HA02 (pp. 1-13) (June 1, 2017). Progress Review of DPS special issue.    [[DOI:https://doi.org/10.7567/JJAP.56.06HA02]] 
---''Kenji Ishikawa'', Kazuhiro Karahashi, Takanori Ichiki, Jane P. Chang, Steven M. George, W. M. M. Kessels, Hae June Lee, Stefen Tinck, Jung Hwan Um, and Keizo Kinoshita

-[116] &color(white,red){OPEN};    &color(white,brown){PM};    Non-thermal atmospheric pressure plasma activates lactate in Ringer’s solution for anti-tumor effects
--Scientific Reports 6, 36282 (pp. 1-11) (November 8, 2016).    [[DOI:https://doi.org/10.1038/srep36282]]
---Hiromasa Tanaka, Kae Nakamura, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroaki Kajiyama, Fumi Utsumi, Fumitaka Kikkawa, and Masaru Hori

-[112] &color(white,brown){PA};    Cold plasma interactions with enzymes in foods and model systems
--Trends in Food Science & Technology 55, pp. 39-47 (September 1, 2016).    [[DOI:https://doi.org/10.1016/j.tifs.2016.07.001]]
---N. N. Misra, S. K. Pankaj, Annalisa Segat, and ''Kenji Ishikawa''

-[111] &color(white,brown){PM};    Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium 
--Archives of Biochemistry and Biophysics 605, pp. 102-108 (September 1, 2016).    [[DOI:https://doi.org/10.1016/j.abb.2016.01.011]]
---Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[98] &color(white,red){OPEN};    &color(white,brown){PM};    EPR-Spin Trapping and Flow cytometric Studies of Free Radicals Generated using Cold Atmospheric Argon Plasma and X-ray irradiation in Aqueous Solutions and Intracellular Milieu
--PLoS One 10 (8), e0136956 (pp. 1-19) (August 28, 2015).    [[DOI:https://doi.org/10.1371/journal.pone.0136956]]
---Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ali Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Takashi Kondo

-[81] &color(white,red){Free};    &color(white,brown){PM};    Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells.
--Plasma Medicine 2 (4), pp. 207-220 (July 2014).    [[DOI:https://doi.org/10.1615/PlasmaMed.2013008267]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

-[74] &color(white,green){C};    Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties
--Carbon 68, pp. 380-388 (March 2014).    [[DOI:https://doi.org/10.1016/j.carbon.2013.11.014]]
---Hyung Jun Cho, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[71] &color(white,red){OPEN};    &color(white,brown){PM};    Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo
--PLoS ONE 8 (12), e81576 (pp. 1-10) (December 18, 2013).    [[DOI:https://doi.org/10.1371/journal.pone.0081576]]
---Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

-[44] &color(white,red){ESR};    &color(white,brown){PA};    Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas
--Applied Physics Letters 101 (1), 013704 (pp. 1-4) (July 2, 2012).    [[DOI:https://doi.org/10.1063/1.4733387]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6917]]
---''Kenji Ishikawa'', Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[34] &color(white,red){ESR};    Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study
--Journal of Physical Chemistry Letters 2, pp. 1278-1281 (2011).    [[DOI:https://doi.org/10.1021/jz2002937]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6920]]
---''Kenji Ishikawa'', Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori 

**Before 2019

Go to [[Old publications>Publication2]]

#include(Footer,notitle)