Publication のバックアップソース(No.9)

#author("2020-12-18T12:38:55+09:00","default:ishikawa","ishikawa")
* Publication

Total 249 peer-reviewed papers (journal 230 papers + 5 paper in Japanese + 14 peer-reviewed proceedings); 

2592 total citations ; h-index 25 [[Publons>https://publons.com/researcher/2507368/kenji-ishikawa/]], [[Scopus:http://www.scopus.com/authid/detail.url?authorId=55360981500]]
// Author ID (I-6758-2014 was changed from [[C-9919-2009:http://www.researcherid.com/rid/C-9919-2009]]) on Thomson Reuters database.

Topics
-&color(white,blue){FC,HN};    Fluorocarbon plasmas and hydrogen-nitrogen mixture plasmas
-&color(white,brown){APP,PM};    Atmospheric pressure plasmas, Plasma agriculture, and Plasma medicine
-&color(white,green){dia,C,Si};    Plasma processes for diamond, nano-carbon and nanocrystalline silicon
-&color(black,pink){GaN};    Plasma processes for gallium nitrides

Methods
-&color(black,yellow){IR};    Infrared spectroscopic studies
-&color(black,yellow){LIF};    Laser induced fluorescence
-&color(white,red){ESR};    Electron spin (Paramagnetic) resonance
-&color(black,orange){Beam};    Surface reactions under ion beam irradiation
-&color(black,cyan){QC};    Quantum chemical computation

**2021

-[230] Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H2 plasma
--Applied Surface Science 542, 148550 (pp. 1-8) (March 15, 2021). [[DOI:https://doi.org/10.1016/j.apsusc.2020.148550]]
---Shih-Nan Hsiao, Kazuya Nakane, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[229] &color(white,red){Free};   Insights into normothermic treatment with direct irradiation of atmospheric pressure plasma for biological applications
--Selected Topics in Applied Physics in Japanese Journal of Applied Physics 60, 010502 (pp.1-12) (January, 2021).  [[DOI:https://doi.org/10.35848/1347-4065/abcbd2]] 
---Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, and ''Kenji Ishikawa''

-[228] &color(white,red){Free};   Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma
--Selected Topics in Applied Physics in Japanese Journal of Applied Physics 60, 010503 (pp. 1-15) (January, 2021). [[DOI:https://doi.org/10.35848/1347-4065/abcbd1]] 
---Masafumi Ito, Hiroshi Hashizume,  Jun-Seok Oh, ''Kenji Ishikawa'', Takayuki Ohta, and Masaru Hori

**2020

-[227] &color(white,green){C};   Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature
--Carbon 170, pp. 93-99 (December, 2020) [[DOI:https://doi.org/10.1016/j.carbon.2020.07.052]] 
---Hirotsugu Sugiura, Hiroki Kondo, Kimitaka Higuchi, Shigeo Arai, Ryo Hamaji, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[226] &color(black,pink){GaN};   Influence of chamber pressure on the crystal quality of homo-epitaxial GaN grown by radical-enhanced MOCVD (REMOCVD)
--Journal of Crystal Growth 549, 125863 (pp. 1-5) (November 1, 2020) [[DOI:https://doi.org/10.1016/j.jcrysgro.2020.125863]]
---Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, ''Kenji Ishikawa'', and Masaru Hori

-[225] &color(white,brown){APP};   Formation of spherical Sn particles by reducing SnO2 film in floating-wire-assisted atmospheric-pressure H2/Ar plasma
--Scientific Reports 10, 17770 (pp. 1-12) (October 20, 2020) [[DOI:https://doi.org/10.1038%2Fs41598-020-74663-z]] 
---Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[224] &color(white,brown){PA};   Improvement of yield and grain quality by periodic cold-plasma treatment with rice plants in paddy field
--Plasma Processes and Polymers 10, e2000181 (pp. 1-11) (October 20, 2020) [[DOI:https://doi.org/10.1002/ppap.202000181]] 
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

-[223] &color(black,pink){GaN};   Roles of atomic nitrogen/hydrogen in GaN film growth by chemically-assisted sputtering with dual plasma sources
--ACS Omega 5 (41), pp. 26776-26785 (October 8, 2020) [[DOI:https://doi.org/10.1021/acsomega.0c03865]] 
---Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Takahiro Kimura, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, ''Kenji Ishikawa'', Osamu Oda, and Masaru Hori

-[222] &color(white,brown){PM};   Adjusted multiple gases in the plasma flow induces differential antitumor potentials of plasma-activated liquid
--Plasma Processes and Polymers 17 (10), 1900259 (pp.1-14) (October 6, 2020). [[DOI:https://doi.org/10.1002/ppap.201900259]] 
---Kae Nakamura, Nobuhisa Yoshikawa, Masato Yoshihara, Yoshiki Ikeda, Akihiro Higashida, Akihiro Niwa, Takahiro Jindo, Hiromasa Tanaka, ''Kenji Ishikawa'', Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa, and Hiroaki Kajiyama

-[222'] Inside Back Cover Picture: Plasma Process. Polym. 10/2020
--Plasma Processes and Polymers 17 (10), 2070026 (p.1) (October 6, 2020). [[DOI:https://doi.org/10.1002/ppap.202070026]] 
---Kae Nakamura, Nobuhisa Yoshikawa, Masato Yoshihara, Yoshiki Ikeda, Akihiro Higashida, Akihiro Niwa, Takahiro Jindo, Hiromasa Tanaka, ''Kenji Ishikawa'', Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa, and Hiroaki Kajiyama

-[221] &color(white,brown){PM};   Laser-induced-plasma-activated medium enables killing of HeLa cells
--Applied Physics Express 13 (10), 106001 (pp. 1-5) (October 1, 2020) [[DOI:https://doi.org/10.35848/1882-0786/abb68c]] 
---Yukihiro Kurokawa, Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, and Masaru Hori

-[220] &color(white,red){OPEN}; &color(white,brown){PM};   Small size gold nanoparticles enhance apoptosis-induced by cold atmospheric plasma via depletion of intracellular GSH and modification of oxidative stress
--Cell Death Discovery 6, 83 (pp. 1-12) (September 10, 2020)  [[DOI:https://doi.org/10.1038/s41420-020-00314-x]]
---Mati Ur Rehman, Paras Jawaid, Qing Zhao, Masaki Misawa, ''Kenji Ishikawa'', Masaru Hori, Tadamichi Shimizu, Jun-ichi Saitoh, Kyo Noguchi, and Takashi Kondo 

-[219] &color(white,red){OPEN};    Plasma agriculture from laboratory to farm: A review
--Processes (mdpi) 8 (8), 1002 (pp. 1-21) (August 18, 2020) [[DOI:https://doi.org/10.3390/pr8081002]]
---Pankaj Attri, ''Kenji Ishikawa'', Takamasa Okumura, Kazunori Koga, and Masaharu Shiratani

-[218] &color(white,brown){APP};   Steering of surface discharges on Through-Glass-Vias (TGVs) combined with high-density nonequilibrium atmospheric pressure plasma generation
--Journal of Physics D: Applied Physics 53 (43), 534302 (pp. 1-11) (July 31, 2020).  [[DOI:https://doi.org/10.1088/1361-6463/aba1ad]]
---Yoichiro Sato, Kaede Katsuno, Hidefumi Odaka, Nobuhiko Imajyo, ''Kenji Ishikawa'', and Masaru Hori

-[217] &color(white,red){OPEN}; &color(white,brown){APP}; Numerical analysis of coaxial dielectric barrier helium discharges: Three-stage mode transitions and plasma bullet propagation
--Applied Physics Express 13 (8), 086001 (pp.1-5) (2020).  [[DOI:https://doi.org/10.35848/1882-0786/aba3f2]]
---Yosuke Sato, ''Kenji Ishikawa'', Takayoshi Tsutsumi, and Masaru Hori 

-[216] &color(black,pink){GaN}; In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals
--Journal of Vacuum Science and Technology A 38 (4), 042602 (pp. 1-11) (2020).  [[DOI:https://doi.org/10.1116/6.0000124]] 
---Masaki Hasegawa, Takayoshi Tsutsumi, Atsushi Tanide, Shohei Nakamura, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[215] &color(white,brown){PM}; Non-thermal plasma–activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism
--Archives of Biochemistry and Biophysics 688, 108414 (pp. 1-9) (July 30, 2020).  [[DOI:https://doi.org/10.1016/j.abb.2020.108414]]
---''Kenji Ishikawa'', Yugo Hosoi, Hiromasa Tanaka, Li Jiang, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[214] In-plane modification of hexagonal boron nitride particles via plasma in solution
--Applied Physics Express 13 (6), 066001 (pp. 1-3) (May 20, 2020).  [[DOI:https://doi.org/10.35848/1882-0786/ab916c]] 
---Tsuyohito Ito, Taku Goto, Kenichi Inoue, ''Kenji Ishikawa'', Hiroki Kondo, Masaru Hori, Yoshiki Shimizu, Yukiya Hakuta, and Kazuo Terashima

-[213] &color(black,cyan){QC}; Electronic properties and primarily dissociation channels of fluoromethane compounds
--Japanese Journal of Applied Physics 59 (SJ), SJJE02 (pp. 1-12) (June 1, 2020).  [[DOI:https://doi.org/10.35848/1347-4065/ab7e3f]] Selected in the Spotlights 2020
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[212] &color(white,brown){APP}; Characterization of a microsecond pulsed non-equilibrium atmospheric pressure Ar plasma using laser scattering and optical emission spectroscopy
--Plasma Science and Technology 22 (6), 065404 (pp. 1-8) (June 1, 2020).  [[DOI:https://doi.org/10.1088/2058-6272/ab84e2]] 
---FengDong Jia, Yong Wu, Qi Min, MaoGen Su, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Masaru Hori, and ZhiPing Zhong

-[211] &color(white,green){C}; Synthesis of isolated carbon nanowalls via high-voltage nanosecond pulses in conjunction with CH4/H2 plasma enhanced chemical vapor deposition
--Carbon 161, pp. 403-412 (May, 2020).  [[DOI:https://doi.org/10.1016/j.carbon.2020.01.064]] 
---Tomonori Ichikawa, Naohiro Shimizu, ''Kenji Ishikawa'', Mineo Hiramatsu, and Masaru Hori

-[210] &color(white,brown){APP}; Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: Effects of applied voltage polarity
--Journal of Physics D: Applied Physics 53 (26), 265204 (pp. 1-14) (April 30, 2020).  [[DOI:https://doi.org/10.1088/1361-6463/ab7df0]] 
---Yosuke Sato, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Akio Ui, Masato Akita, Shotaro Oka, and Masaru Hori

-[209] &color(white,red){ESR}; Electron spin resonance as a tool to monitor the influence of novel processing technologies on food properties
--Trends in Food Science and Technology 100, pp. 77-87 (April 17, 2020). [[DOI:https://doi.org/10.1016/j.tifs.2020.03.032]] 
---Francisco J. Barba, Shahin Roohinejad, ''Kenji Ishikawa'', Sze Ying Leong, Alaa El-Din A Bekhit, Jorge A. Saraiva, and Nikolai Lebovka

-[208] &color(white,green){C}; In-liquid plasma synthesis of nanographene with a mixture of methanol and 1-butanol
--ChemNanoMat 6 (4), pp. 604-609 (April 1, 2020).  [[DOI:https://doi.org/10.1002/cnma.201900676]]
---Atsushi Ando, ''Kenji Ishikawa'', Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[208'] Cover Picture In‐Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1‐Butanol
--ChemNanoMat 6 (4), p. 481 (April 1, 2020).  [[DOI:https://doi.org/10.1002/cnma.202000117]]
---Atsushi Ando, ''Kenji Ishikawa'', Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[207] &color(white,green){C}; Gas-phase and film analysis of hydrogenated amorphous carbon films: effect of ion bombardment energy flux on sp2 carbon structures
--Diamond & Related Materials 104, 107651 (pp. 1-10) (April, 2020) [[DOI:https://doi.org/10.1016/j.diamond.2019.107651]]
---Hirotsugu Sugiura, Yasuyuki Ohashi, ''Kenji Ishikawa'', Hiroki Kondo, Toshiaki Kato, Toshiro Kaneko, Keigo Takeda, Takayoshi Tsutsumi, Toshio Hayashi, Makoto Sekine, and Masaru Hori

-[206] &color(white,blue){Etch}; Interaction of oxygen with polystyrene and polyethylene polymer films: a mechanistic study
--Journal of Applied Physics 127 (2), 023303 (pp. 1-9) (January 10, 2020) [[DOI:https://doi.org/10.1063/1.5127863]]
---Yusuke Fukunaga, Roberto C. Longo, Peter Ventzek, Barton Lane, Alok Ranjan, Gyeong S. Hwang, Greg Hartmann, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori

**2019

-[205] &color(black,pink){GaN}; Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate
--Journal of Vacuum Science & Technology B 37 (6), 061215 (pp. 1-6) (December 3, 2019) [[DOI:https://doi.org/10.1116/1.5114831]]
---Yasuhiro Isobe, Takayuki Sakai, Kyoichi Suguro, Naoto Miyashita, Frank Wilson Amalraj, Hiroki Kondo, ''Kenji Ishikawa'', Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori 

-[204] &color(white,red){OPEN};    &color(white,brown){PM};    Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions
--Scientific Reports 9, 13657 (pp. 1-12) (September 20, 2019).    [[DOI:https://doi.org/10.1038/s41598-019-50136-w]]
---Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, ''Kenji Ishikawa'', Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

-[203] &color(white,blue){Etch};    Rapid thermal-cyclic atomic-layer etching of titanium nitride in CHF3/O2 downstream plasma
--Journal of Physics D: Applied Physics 52 (47), 475106 (pp. 1-9) (September 9, 2019).    [[DOI:https://doi.org/10.1088/1361-6463/ab3cf3]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori

-[202] Review of methods for the mitigation of plasma-induced damage to low-dielectric-constant interlayer dielectrics used for semiconductor logic device interconnects
--Plasma Processes and Polymers 16 (9), 1900039 (pp. 1-20) (September 5, 2019).    [[DOI:https://doi.org/10.1002/ppap.201900039]]
---Hideshi Miyajima, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[201] &color(white,brown){PM};    Simultaneous Achievement of Antimicrobial Property and Plant Growth Promotion using Plasma Activated Benzoic Compound Solution
--Plasma Processes and Polymers 16 (8), 1900023 (pp. 1-6) (August 6, 2019).    [[DOI:https://doi.org/10.1002/ppap.201900023]]
---Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito

-[200] &color(white,blue){Etch};    Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma for thermal-cyclic atomic layer etching of silicon nitride
--Journal of Vacuum Science and Technology A 37 (5), 051002 (pp. 1-8) (August 1, 2019).    [[DOI:https://doi.org/10.1116/1.5111663]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Tomonori Saeki, ''Kenji Ishikawa'', and Masaru Hori

-[199] &color(white,red){OPEN};    &color(white,green){C};    Atmospheric pressure plasma-treated carbon nanowalls surface-assisted laser desorption/ionization time-of-flight mass spectrometry (CNW-SALDI-MS)
--C Journal of Carbon Research (mdpi) 5 (3), 40 (pp. 1-10) (July 18, 2019).    [[DOI:https://doi.org/10.3390/c5030040]]
---Takayuki Ohta, Hironori Ito, ''Kenji Ishikawa'', Hiroki Kondo, Mineo Hiramatsu, and Masaru Hori

-[198] &color(white,brown){PM};    Gene expression of osteoblast-like cells on carbon nanowall as scaffolds during incubation with electrical stimulation
--ACS Applied Bio Materials 2 (7), pp. 2698-2702 (July 15, 2019).    [[DOI:https://doi.org/10.1021/acsabm.9b00178]]
---Tomonori Ichikawa, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

-[197'] Cover Picture: Plasma Process. Polym. 6/2019
--Plasma Process and Polymers 16 (6), 1970013 (pp. 1) (May 31, 2019).    [[DOI:https://doi.org/10.1002/ppap.201970013]]
---Yan Zhang, ''Kenji Ishikawa'', Miran Mozetič, Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, and Masaru Hori

-[197] &color(white,blue){Etch};    Surface modifications of polyethylene terephthalate (PET) by VUV and radicals in oxygen and hydrogen plasmas
--Plasma Processes and Polymers 16 (6), 1800175 (pp. 1-11) (May 31, 2019).    [[DOI:https://doi.org/10.1002/ppap.201800175]]
---Yan Zhang, ''Kenji Ishikawa'', Miran Mozetič, Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, and Masaru Hori

-[196] Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass
--Journal of Materials Science: Materials in Electronics (JMSE) 30 (11), pp. 10183–10190 (June 2019)    [[DOI:https://doi.org/10.1007/s10854-019-01354-5]]
---Yoichiro Sato, Nobuhiko Imajyo, ''Kenji Ishikawa'', Rao Tummala, and Masaru Hori

-[195] &color(white,red){Free};    Progress and perspectives in dry processes for leading-edge manufacturing of devices: Toward intelligent processes and virtual product development
--Japanese Journal of Applied Physics 58 (SE), SE0804 (pp. 1-21) (May 30, 2019)    [[DOI:https://doi.org/10.7567/1347-4065/ab163b]]
---Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, and ''Kenji Ishikawa''

-[194] &color(white,red){Free};    Progress and perspectives in dry processes for emerging multidisciplinary applications: How can we improve our use of dry processes?
--Japanese Journal of Applied Physics 58 (SE), SE0803 (pp. 1-17) (May 30, 2019)    [[DOI:https://doi.org/10.7567/1347-4065/ab163a]]
---Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, and ''Kenji Ishikawa''

-[193] &color(white,red){Free};    Progress and perspectives in dry processes for nanoscale feature fabrication: Fine pattern transfer and high-aspect-ratio feature formation
--Japanese Journal of Applied Physics 58 (SE), SE0802 (pp. 1-24) (May 30, 2019)    [[DOI:https://doi.org/10.7567/1347-4065/ab1638]]
---Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, and ''Kenji Ishikawa''

-[192] &color(white,red){Free};    Rethinking surface reactions in nanoscale dry processes toward atomic precision and beyond: A physics and chemistry perspective
--Japanese Journal of Applied Physics 58 (SE), SE0801 (pp. 1-14) (May 30, 2019)    [[DOI:https://doi.org/10.7567/1347-4065/ab163e]]
---''Kenji Ishikawa'', Tatsuo Ishijima, Tatsuru Shirafuji, Silvia Armini, Emilie Despiau-Pujo, Richard A. Gottscho, Keren J. Kanarik, Gert J. Leusink, Nathan Marchack, Takahide Murayama, Yasuhiro Morikawa, Gottlieb S. Oehrlein, Sangwuk Park, Hisataka Hayashi, and Keizo Kinoshita

-[191] &color(white,brown){PM};    Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy
--Applied Physics Express 12 (6), 066001 (pp. 1-5) (May 8, 2019)    [[DOI:https://doi.org/10.7567/1882-0786/ab1a58]]
---Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, ''Kenji Ishikawa'', Hiromasa Tanaka, and Masaru Hori

-[190] &color(white,red){Free};    &color(black,cyan){QC};    Electronic properties and primarily dissociation channels of hydrofluoroethane compounds
--Japanese Journal of Applied Physics 58 (SE), SEEF01 (pp. 1-18) (May 1, 2019)    [[DOI:https://doi.org/10.7567/1347-4065/ab09ca]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[189] &color(black,pink){GaN};    Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition
--Journal of Vacuum Science and Technology B 37 (3), 031201 (pp. 1-7) (May 19, 2019).    [[DOI:https://doi.org/10.1116/1.5083970]]
---Yasuhiro Isobe, Takayuki Sakai, Naoharu Sugiyama, Ichiro Mizushima, Kyoichi Suguro, Naoto Miyashita, Yi Lu, Frank Wilson Amalraj, Dhasiyan Arun Kumar, Nobuyuki Ikarashi, Hiroki Kondo, ''Kenji Ishikawa'', Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori

-[188] A 65-nm CMOS Fully-Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome
--IEEE Transactions on Biomedical Circuits and Systems (TBioCAS) 13 (2), pp. 470-479 (April, 2019).    [[DOI:https://doi.org/10.1109/TBCAS.2018.2882472]]
---Kiichi Niitsu, Taiki Nakanishi, Shunya Murakami, Maya Matsunaga, Atsuki Kobayashi, Karim Nissar Mohammad, Jun Ito, Naoya Ozawa, Tetsunari Hase, Hiromasa Tanaka, Mitsuo Sato, Hiroki Kondo, ''Kenji Ishikawa'', Hidefumi Odaka, Yoshinori Hasegawa, Masaru Hori, and Kazuo Nakazato

-[187] &color(black,pink){GaN};    Effects of BCl3 addition to Cl2 gas on etching characteristics of GaN at high temperature
--Journal of Vacuum Science and Technology B 37 (2), 021209 (pp. 1-6) (March 12, 2019)    [[DOI:https://doi.org/10.1116/1.5082345]]
---Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shohei Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, ''Kenji Ishikawa'', Makoto Sekine and Masaru Hori

-[186] &color(white,red){OPEN};    Chemical bond structures of porous SiOC Film (k<2.4) for resistance of plasma induced damages
--Micro and Nano Engineering 3, pp. 1-6 (March 7, 2019).    [[DOI:https://doi.org/10.1016/j.mne.2019.02.005]]
---Hideshi Miyajima, Hideaki Masuda, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[185] &color(white,green){C};    Single-Step, Low-Temperature Formations and In-Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Li-Ion Batteries
--ACS Applied Nano Materials 2, pp. 649-654 (February 22, 2019).    [[DOI:https://doi.org/10.1021/acsanm.8b02201]]
---Ranjit R. Borude, Hirotsugu Sugiura, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

-[184] &color(white,green){C};    Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol
--Journal of Physics D: Applied Physics 52 (17), 175301 (pp. 1-9) (February 18, 2019).    [[DOI:https://doi.org/10.1088/1361-6463/ab03c4]]
---Ranjit R. Borude, Hirotsugu Sugiura, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Hiroki Kondo, and Masaru Hori

-[183] &color(white,brown){PM};    Nonthermal plasma-activated medium (PAM) modified metabolomic profiles in glycolysis of U251SP glioblastoma
--Archives of Biochemistry and Biophysics 662, pp.83-92 (February 15, 2019).    [[DOI:https://doi.org/10.1016/j.abb.2018.12.001]]
---Naoyuki Kurake, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[182] &color(white,brown){PM};    Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources
--Journal of Physics D:Applied Physics 52 (16), 165202 (pp. 1-13) (February 15, 2019).    [[DOI:https://doi.org/10.1088/1361-6463/aaff44]]
---Keigo Takeda, Hiromasa Yamada, ''Kenji Ishikawa'', Hajime Sakakita, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Yoshihiro Akimoto, Yosky Kataoka, Naoaki Yokoyama, Yuzuru Ikehara, and Masaru Hori

-[181] &color(white,brown){APP};    Remotely Floating Wire-Assisted Generation of High-Density Atmospheric Pressure Plasma and SF6-Added Plasma Etching of Quartz Glass
--Journal of Applied Physics 125 (6), 063304 (pp. 1-11) (February 14, 2019).    [[DOI:https://doi.org/10.1063/1.5081875]]
---Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[180] &color(white,brown){APP};    Liquid dynamics in response to an impinging low-temperature plasma jet
--Journal of Physics D: Applied Physics 52 (7), 075203 (pp. 1-11) (February 13, 2019).    [[DOI:https://doi.org/10.1088/1361-6463/aaf460]] 
---Timothy R. Brubaker, ''Kenji Ishikawa'', Hiroki Kondo, Takayoshi Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, and Masaru Hori

-[179] &color(white,green){C};    Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma enhanced chemical vapor deposition
--Japanese Journal of Applied Physics 58 (3), 030912 (pp. 1-4) (February 13, 2019).    [[DOI:https://doi.org/10.7567/1347-4065/aafd49]]
---Hirotsugu Sugiura, Lingyun Jia, Yasuyuki Ohashi, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoro Sekine, and Masaru Hori

-[178] &color(white,green){C};    &color(white,brown){Bio};    Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowall scaffolds
--Applied Physics Express 12 (2), 025006 (pp. 1-4) (February 1, 2019).    [[DOI:https://doi.org/10.7567/1882-0786/aaf469]]
---Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori

-[177] &color(white,red){OPEN};    &color(white,green){C};    Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition
--C (MDPI) 5 (1), 8 (pp. 1-12) (January 24, 2019).    [[DOI:https://doi.org/10.3390/c5010008]]
---Hirotsugu Sugiura, Hiroki Kondo, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori 

-[176] &color(white,red){OPEN};    &color(white,green){C};    Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition
--C (MDPI) 5 (1), 7 (pp. 1-11) (January 24, 2019).    [[DOI:https://doi.org/10.3390/c5010007]]
---Masakazu Tomatsu, Mineo Hiramatsu, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori

-[175] Adhesion enhancement and amine reduction using film redeposited at the interface of a stack of plasma-enhanced CVD dielectrics for Cu/low-k interconnects
--Japanese Journal of Applied Physics 58 (2), 020908 (pp. 1-5) (January 23, 2019).    [[DOI:https://doi.org/10.7567/1347-4065/aafb5b]]
---Hideshi Miyajima, Kei Watanabe, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[174] &color(black,pink){GaN};    Hetero-epitaxial growth of GaN film by the combination of magnetron sputtering with Ar / Cl2 gas mixtures and separate supply of nitrogen precursors from a high density radical source
--Japanese Journal of Applied Physics 58 (SA), SAAF04 (pp. 1-6) (January 21, 2019).    [[DOI:https://doi.org/10.7567/1347-4065/aaeb39]]
---Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, ''Kenji Ishikawa'', and Masaru Hori

-[173] Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system
--Japanese Journal of Applied Physics 58 (2), 020906 (pp. 1-5) (January 21, 2019).    [[DOI:https://doi.org/10.7567/1347-4065/aaf92a]]
---Yusuke Fukunaga, Takayoshi Tsutsumi, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[172] &color(white,green){C};    Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt-nanoparticle-supported carbon nanowalls
--Journal of Physics D: Applied Physics 52 (10), 105503 (pp. 1-8) (January 11, 2019).    [[DOI:https://doi.org/10.1088/1361-6463/aaf8e0]]
---Shun Imai, Kenichi Naito, Hiroki Kondo, Hyung Jun Cho, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[171] &color(white,green){C};    Effect of deposition parameter and post atmospheric pressure plasma treatment of surface and bulk properties of magnetron-sputter-deposited amorphous carbon films
--Japanese Journal of Applied Physics 58 (SA), SAAC07 (pp. 1-9) (January 7, 2019).    [[DOI:https://doi.org/10.7567/1347-4065/aaec87]]
---Ranjit R. Borude, Hirotsugu Sugiura, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Hiroki Kondo, Jeon Geon Han, and Masaru Hori

-[170] Batch Fabrication of Nano-Gap Electrode Array Using Photo-Patterning and Resist UV-Curing
--IEEJ Transactions on Sensors and Micromachines 139 (1), pp.27-28 (電気学会論文誌) (January 1, 2019).    [[DOI:https://doi.org/10.1541/ieejsmas.139.27]]
---Hai Minh Nguyen, Mako Kumeuchi, Shinya Kumagai, ''Kenji Ishikawa'', Masaru Hori, and Minoru Sasaki

-[169] &color(white,green){C};    Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H2 plasma-enhanced chemical vapor deposition
--Applied Physics Express 12 (1), 015001 (pp. 1-5) (January 1, 2019).    [[DOI:https://doi.org/10.7567/1882-0786/aaf0ab]]
---Shun Imai, Hiroki Kondo, Hyungjun Cho, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[168] &color(white,brown){PM};    Molecular mechanisms of non-thermal plasma-induced effects in cancer cells
--Biological Chemistry 400 (1), pp. 87-91 (January 1, 2019).    [[DOI:https://doi.org/10.1515/hsz-2018-0199]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

**Old selected

-[161] &color(white,red){OPEN};    &color(white,blue){Etch};    Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?
--Japanese Journal of Applied Physics 57 (6S2), 06JA01 (pp. 1-18) (May 25, 2018).    [[DOI:https://doi.org/10.7567/JJAP.57.06JA01]]
---''Kenji Ishikawa'', Kazuhiro Karahashi, Tatsuo Ishijima, Sung Il Cho, Simon Elliott, Dennis Hausmann, Dan Mocuta, Aaron Wilson, and Keizo Kinoshita

-[130] &color(white,blue){Etch};    Progress and prospects in nanoscale dry processes - How can we control atomic layer reactions?
--Japanese Journal of Applied Physics 56 (6S2), 06HA02 (pp. 1-13) (June 1, 2017). Progress Review of DPS special issue.    [[DOI:https://doi.org/10.7567/JJAP.56.06HA02]] 
---''Kenji Ishikawa'', Kazuhiro Karahashi, Takanori Ichiki, Jane P. Chang, Steven M. George, W. M. M. Kessels, Hae June Lee, Stefen Tinck, Jung Hwan Um, and Keizo Kinoshita

-[116] &color(white,red){OPEN};    &color(white,brown){PM};    Non-thermal atmospheric pressure plasma activates lactate in Ringer’s solution for anti-tumor effects
--Scientific Reports 6, 36282 (pp. 1-11) (November 8, 2016).    [[DOI:https://doi.org/10.1038/srep36282]]
---Hiromasa Tanaka, Kae Nakamura, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroaki Kajiyama, Fumi Utsumi, Fumitaka Kikkawa, and Masaru Hori

-[112] &color(white,brown){PA};    Cold plasma interactions with enzymes in foods and model systems
--Trends in Food Science & Technology 55, pp. 39-47 (September 1, 2016).    [[DOI:https://doi.org/10.1016/j.tifs.2016.07.001]]
---N. N. Misra, S. K. Pankaj, Annalisa Segat, and ''Kenji Ishikawa''

-[111] &color(white,brown){PM};    Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium 
--Archives of Biochemistry and Biophysics 605, pp. 102-108 (September 1, 2016).    [[DOI:https://doi.org/10.1016/j.abb.2016.01.011]]
---Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[98] &color(white,red){OPEN};    &color(white,brown){PM};    EPR-Spin Trapping and Flow cytometric Studies of Free Radicals Generated using Cold Atmospheric Argon Plasma and X-ray irradiation in Aqueous Solutions and Intracellular Milieu
--PLoS One 10 (8), e0136956 (pp. 1-19) (August 28, 2015).    [[DOI:https://doi.org/10.1371/journal.pone.0136956]]
---Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ali Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Takashi Kondo

-[81] &color(white,red){Free};    &color(white,brown){PM};    Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells.
--Plasma Medicine 2 (4), pp. 207-220 (July 2014).    [[DOI:https://doi.org/10.1615/PlasmaMed.2013008267]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

-[74] &color(white,green){C};    Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties
--Carbon 68, pp. 380-388 (March 2014).    [[DOI:https://doi.org/10.1016/j.carbon.2013.11.014]]
---Hyung Jun Cho, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[71] &color(white,red){OPEN};    &color(white,brown){PM};    Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo
--PLoS ONE 8 (12), e81576 (pp. 1-10) (December 18, 2013).    [[DOI:https://doi.org/10.1371/journal.pone.0081576]]
---Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

-[44] &color(white,red){ESR};    &color(white,brown){PA};    Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas
--Applied Physics Letters 101 (1), 013704 (pp. 1-4) (July 2, 2012).    [[DOI:https://doi.org/10.1063/1.4733387]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6917]]
---''Kenji Ishikawa'', Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[34] &color(white,red){ESR};    Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study
--Journal of Physical Chemistry Letters 2, pp. 1278-1281 (2011).    [[DOI:https://doi.org/10.1021/jz2002937]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6920]]
---''Kenji Ishikawa'', Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori 

**Before 2018

Go to [[Old publications>Publication2]]

#include(Footer,notitle)