ProceedingIntern16 の変更点


#author("2020-11-20T22:42:25+09:00","default:ishikawa","ishikawa")
#include(MenuTab,notitle)
#author("2020-11-20T22:42:36+09:00","default:ishikawa","ishikawa")
#include(MenuTab1,notitle)

2016年の国際会議リスト

-545) Hiroshi Hashizume, Takayuki Ohta, Masafumi ito, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori.
--(INVITED) Plasma agriculture employing atmospheric-pressure oxygen plasma.
---Asian International Workshop on Plasma Science, (Nagoya University, Aichi, Japan, February 13, 2016)
-546) H. Cho, H. Kondo, ''K. Ishikawa'', K. Takeda, M. Sekine, M. Hiramatsu, M. Hori.
--(INVITED) Effects of edge terminations on nanostructure and electrical properties of carbon nanowalls.
---Asian International Workshop on Plasma Science, (Nagoya University, Aichi, Japan, February 13, 2016)
-547) T. Tsutsumi, Y. Fukunaga, K. Takeda, ''K. Ishikawa'', H. Kondo, M. Sekine, and M. Hori.
--(INVITED) Atomic-scale plasma process based on substrate-temperature control system.
---Asian International Workshop on Plasma Science, (Nagoya University, Aichi, Japan, February 13, 2016)
-548) K. Takeda, Y. Fukunaga, T. Tsutsumi, ''K. Ishikawa'', H. Kondo, M. Sekine, M. Hori.
--(INVITED) Plasma etching process based on teal-time monitoring of radical density and substrate temperature.
---The 50th Winter Annual Conference of the Korean Vacuum Society, (Welli Hilli Park, Kangwon Province, Korea, Feb. 17-19, 2016)
-549) Hirotsugu Sugiura, Lingyun Jia , Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, Makoto Sekine, Masaru Hori.
--Influence of ion bombardment on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
---The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, (Welli Hilli Park, Kangwon Province, Korea , February 17-18, 2016), 17:50, February 17, 2016
-550) K. Takeda, T. Kumakura, ''K. Ishikawa'', H. Tanaka, Y. Nakai, M. Sekine, M. Hori.
--Behaviors of gas- and liquid-phase reactive oxygen species generated by AC excited atmospheric pressure He plasma.
---The Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications, (Eastin Tan Hotel, Chiang Mai, Thailand, February 22-23, 2016), 10:55 - 11:10 February 23, 2016
-551) Hirotsugu Sugiura,L. Jia, H. Kondo, H. Hashizume, ''K. Ishikawa'', K. Takeda, M. Hiramatsu, M. Sekine, M. Hori.
--Plasma-enhanced synthesis and chemical termination of carbon nanomaterials for application as cell culturing scaffold.
---The Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications, (Eastin Tan Hotel, Chiang Mai, Thailand, February 22-23, 2016), 11:40 – 11:55 February 23, 2016
-552) H. Cho, S. Tajima, K. Takeda, H. Kondo, ''K. Ishikawa'', M. Sekine, M. Hiramatsu, M. Hori.
--Effects of fluorine termination on nanostructures and electrical proeprties of carbon nanowalls.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 09P52
-553) Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, Makoto Sekine, Masaru Hori.
--Effects of radicals and ions on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 07pB06O
-554) Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, ''Kenji Ishikawa'', Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine and Masaru Hori.
--Plasma induced reactions on budding yeasts observed by multiplex coherent anti-Stokes Raman scattering (CARS).
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 09aE02O
-555) T. Tsutsumi, ''K. Ishikawa'', K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori.
--Control of radial distribusion of wafer temperature during plasma process.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 09P24
-556) S. Takai, Y. Lu, K. Iwamoto, O. Oda, K. Takeda, H. Kondo, ''K. Ishikawa'', M. Sekine and M. Hori.
--Low-temperature growth of InN films on Si(111) substrates by radical-enhanced metal-organic chemical vapor deposition.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 07pC11O
-557) Y. Zhang, ''K. Ishikawa'', M. Mozetic, K. Takeda, H. Kondo, M. Sekine and M. Hori.
--Vacuum ultraviolet (VUV) light effects on polyethylene terephthalate (PET) surfaces in plasma modification.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 09P12
-558) T. Amano, H. Kondo, K. Takeda, ''K. Ishikawa'', T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine and M. Hori.
--Oxygen reduction reaction of fuel cell catalytic electrodes using nanographene materials synthesized by in-liquid plasma.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 07pB11O
-559) Hiroshi Hashizume, Hiromasa Tanaka, Kae Nakamura, Hiroyuki Kano, ''Kenji Ishikawa'', Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori.
--Induction of human breast cancer cells to selective death by plasma-activated medium.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 10P07
-560) Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, ''Kenji Ishikawa'', Masaru Hori, Masafumi Ito.
--Measurement of reactive species in neutral-pH solutions treated with neutral oxygen radicals.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 10P01
-561) T. Kumakura, K. Takeda, ''K. Ishikawa'', H. Tanaka, H. Kondo, Y. Nakai, M. Sekine, M. Hori.
--Gas-phase diagnostics of ac excited non-equilibrium atmospheric pressure plasma jet for biomedical application.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 10P11
-562) Takumi Itoh, ''Kenji Ishikawa'', Daisuke Onoshima, Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka, Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, and Masaru Hori.
--Liquid transport of micro-sized holes for isolated trapping of single cell.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 07pE05O
-563) Z. Liu, J. Pan, A. Asano, ''K. Ishikawa'', K. Takeda, O. Oda, H. Kondo, M. Sekine and M. Hori.
--Low damage etching of gallium nitride with Cl2 plasma at high temperature.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 09P11
-564) Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori.
--Study on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 07P44
-565) Shun Imai, Hiroki Kondo, Naitou Kenichi, Hyungjun Cho, Hiroyuki Kano, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu Masaru Hori.
--Degradation mechanism of Pt nanoparticles-supported carbon nanowalls for fuel cell application.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 09aB03O
-566) Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo Makoto Sekine, Masaru Hori.
--Evaluation of substrate temperature effect on the sidewall surface reactions by intermittent plasma generation for control substrate temperature.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 09P14
-567) B. B. Sahu, Jeon G. Han, Y. Y. Yin, J. S. Lee, Su. B. Jin, T. Tsutsumi, ''K. Ishikawa'', and M. Hori.
--Low-temperature PECVD process of silicon nitride film with radical and plasma diagnostics.
---8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science, (Nagoya University, Nagoya, Japan, March 7-9, 2016), 10P36
-568) ''Kenji Ishikawa'', H.Horibe, K.Takeda, H.Kondo, M.Sekine, M.Hori.
--(INVITED) Bond scission and cross-linking during exposure of atomic hydrogen to poly (methyl methacrylate).
---EMN Meeting on Biomaterials 2016 Phucket, Thailand April 4-6, 2016. Apr 5, 15:45 Oral A31: 
-569) M. Hori, H.Tanaka, ''K.Ishikawa'', M.Mizuno, F. Kikkawa.
--(INVITED) Plasma activated medium for cancer therapy.
---EMN Meeting on Biomaterials, (Phucket, Thailand, April 4-7, 2016)
-570) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Tetsuo Adachi, Hiroki Kaneko, Hiroko Terasaki, Yasuhiro Kodera, Fumitaka Kikkawa, and Masaru Hori.
--Intracellular molecular mechanisms of cancer cell death using plasma-activated medium.
---IWPCT2016, Embassy Suites by Hilton Washington DC Convention Center, Apr 11-12, 2016.
-571) Hiroshi Hashizume, Masaru Hori, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Masafumi Ito.
--Inactivation mechanism of P. digitatum spores using an atmospheric pressure oxygen radical source.
---1st International Workshop on Plasma Agriculture May 15th–20th 2016 New Jersey, USA. May 19(Thu), 16:00-16:20 Oral
-572) M. Sekine, R. Furuta, S. Liang, H. Hashizume, H. Tanaka, K. Takeda, H. Kondo, ''K. Ishikawa'', T. Ohta, M. Ito, and M. Hori.
--Reactive species in atmospheric pressure plasma and their effects on yeast cells.
---The 13th Asia-Pacific Conference on Plasma Science and Technology (APCPST) held in Shanghai on 19-22 May, 2016. May 20, 2016,  16:00~16:15 OC4
-573) Y. Ikehara, S. Ikehara, T. Yamaguchi, Y. Akimoto, H. Sakakita, J. Kim, ''K. Ishikawa'', M. Hori, H. Nakanishi, N. Shimizu.
--(INVITED) Plasmatreatment induces blood clot formation; protein aggregation and hemolysis.
---43rd IEEE International Conference on Plasma Science, (Banff, Alberta, Canada, June 19 - 23, 2016), Jun 20 (Mon.) 16:30-17:00  
-574) M. Ito, T. Kobayashi, T. Ohta, H. Hashizume, ''K. Ishikawa'', M. Hori.
--Main bactericidal factors of Escherichia coli in solutions treated with neutral oxygen radicals.
---43rd IEEE International Conference on Plasma Science, (Banff, Alberta, Canada, June 19 - 23, 2016), June 21(Tue), 14:30 Poster
-575) N. Kurake, H. Tanaka, ''K. Ishikawa'', K. Nakamura, H. Kajiyama, F. Kikkawa, T. Kondo, M. Mizuno, K. Takeda, H. Kondo, M. Sekine, M. Hori.
--Impact of synergism of nitrite and hydrogen peroxide on cell survivals in plasma‐activated‐medium (PAM).
---43rd IEEE International Conference on Plasma Science, (Banff, Alberta, Canada, June 19 - 23, 2016), June 22(Wed), 14:30 Poster
-576) ''K. Ishikawa'', K. Takeda, H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, M. Hori.
--(INVITED) Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications.
---7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016, I-05
-577) T. Kobayashi, J.Oh, H. Hashizume, T. Ohta, ''K. Ishikawa'', M. Hori, M. Ito.
--UV absorbance of DDW treated with oxygen radicals for inactivating Escherichia coli.
---7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016, P-01
-578) T. Ueyama, M. Iwata, Y. Fukunaga, T. Tsutsumi, K. Takeda, ''K. Ishikawa'', M. Sekine, Y. Ohya, M. Hori, H. Sugai.
--Vertical distribution measurement of electron density and optical emission in afterglow of pulsed fluorocarbon plasma.
---7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016, P-02
-579) K. Takeda, ''K. Ishikawa'', H. Tanaka, M. Sekine, M. Hori.
--Gas flow effect on transport of O (3Pj) atoms in ac power excited atmospheric pressure O2/Ar plasma jet.
---7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016, P-08
-580) K. Takeda, ''K. Ishikawa'', H. Tanaka, M. Sekine, M. Hori.
--Behaviors of OH radical generated by irradiation of AC excited atmospheric pressure Ar plasma jet to medium.
---7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016, P-07
-581) R. Furuta, H. Hashizume, ''K. Ishikawa'', H. Tanaka, K. Takeda, T. Ohta, H. Kondo, M. Ito, M. Sekine and M. Hori.
--Molecular vibrational imaging of plasma-induced biological samples.
---7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016, O-4
-582) Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Kurihara, Tadamitsu Kanekiyo, Masaru Izawa, ''Kenji Ishikawa'', Masaru Hori.
--(INVITED) Isotropic atomic layer etching of silicon nitride for 3D device applications.
---3rd International Workshop on Atomic Layer Etching/16th International Conference on Atomic Layer Deposition, (Dublin, Ireland. July 24-25, 2016), 11:15-11:45 July 25, 2016 
-583) ''Kenji Ishikawa'', Naoyuki Kurake, Hiromasa Tanaka, Hiroko Mizuno, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori.
--Latent chemistry in plasma activated medium (PAM).
---20th International Vacuum congress (IVC-20), (Busan, Korea. August 21-26, 2016), 15:45-16:00 August 23(Tue) Oral
-584) Masaru Hori, Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, Makoto Sekine, Mineo Hiramatsu.
--(INVITED) Carbon nanowalls for sustainable future.
---20th International Vacuum congress (IVC-20), (Busan, Korea. August 21-26, 2016), 14:00-14:30 August 22(Mon) Oral
-585) Zecheng Liu, Jialin Pan, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Osamu Oda, Masaru Hori.
--Plasma etching of gallium nitride at high temperature.
---20th International Vacuum congress (IVC-20), (Busan, Korea. August 21-26, 2016), 16:00-17:30 August 25(Thu) Poster
-586) ''Kenji Ishikawa'', N. Kurake, H. Tanaka, H. Hashizume, K. Takeda, K. Nakamura, H. Kajiyama, H. Kondo, M. Sekine, M. Kato, M. Mizuno, F. Kikkawa, M. Hori.
--Metabolic profiles on gliobrastoma (U251SP) modified in plasma-activated medium (PAM) cultivation.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), O-8 
-587) H. Tanaka, M. Mizuno, ''Kenji Ishikawa'', K. Takeda, H. Konod, H. Hashizume, K. Nakamura, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, K. Kikkawa, M. Hori.
--(INVITED) Plasma-activated medium and cancer.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), I-3
-588) Ryo Furuta, Hiroshi Hashizume, ''Kenji Ishikawa'', Hiromasa Tanaka, Keigo Takeda, Takayuki Ohta, Hiroki Kondo, Masafumi Ito, Makoto Sekine and Masaru Hori.
--Dynamic behavior of HeLa cells in plasma-activated medium.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), O-9
-589) Y. Ikehara, S. Ikehara, Y. Akimoto, H. Sakakita, ''Kenji Ishikawa'', M. Ueda, J. Ikeda, J. Kim, T. Yamaguchi, H. Nakanishi, T. Shimizu, N. Shimizu, M. Hori.
--Mechanism of blood coagulation induced by plasma treatment in addition to the acceleration of the natural blood coagulation process.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), O-25
-590) N. Yokoyama, H. Sakakida, Y. Akimoto, T. Sivakumar, ''Kenji Ishikawa'', M. Hori, Y. Ikehara.
--Effect of plasma-treatment on the in vitro growth of Trypanosma brucei.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), P1-1
-591) H. Hashizume, H. Tanaka, N. Nakamura, H. Kano, ''Kenji Ishikawa'', F. Kikkawa, M. Mizuno, M. Hori.
--Selective killing of human breast cancer cells by plasma-activated medium.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), P1-11
-592) M. Ueda, D. Yamagami, A. Morioka, K. Watanabe, K. Sano, A. Mori, H. Kumura, H. Saji, H. Sakakita, Y. Ikehara, ''Kenji Ishikawa'', M. Hori, S. Enomoto.
--Comparison of inflammation after hemostasis with non-thermal plasma or thermal coagulation: A histological and nuclear medical evaluation.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), P2-8
-593) M. Ito, T. Kobayashi, H. Hashizume, T. Ohta, ''Kenji Ishikawa'', M. Hori.
--Inactivation factors of microorganisms in solution treated with neutral oxygen radical source.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), P2-35
-594) Y. Kurokawa, N. Kurake, K. Takeda, ''Kenji Ishikawa'', H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, M. Hori.
--Generation of active species in laser-induced plasma activated medium.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), P2-61
-595) K. Takeda, ''Kenji Ishikawa'', H. Tanaka, M. Sekine, M. Hori.
--Diagnostics of gas and liquid phase reactive species generated by AC excited atmospheric pressure Ar plasma.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), P2-62
-596) J. Ikeda, H. Tanaka, ''Kenji Ishikawa'', H. Sakakita, M. Hori, Y. Ikehara.
--Effect of plasma-activated medium (PAM) on cancer initiating cells for cancer therapy.
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), P3-8
-597) N. Kurake, H. Tanaka, ''Kenji Ishikawa'', H. Hashizume, K. Nakamura, H. Kajiyama, F. Kikkawa, T. Kondo, M. Mizuno, K. Takeda, H. Kondo, M. Sekine, M. Hori.
--Particulates generation in the plasma activated medium (PAM).
---6th International Conference on Plasma Medicine (ICPM6), (Slovak University of Technology, Sep 4-9, 2016), P3-47
-598) Masaru Hori, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu.
--Carbon nanowalls for sustainable future.
---20th International Vacuum congress IVC-20, (Busan, Korea, August 21-26, 2016)
-599) M. Sekine, T. Tsutsumi, Y. Fukunaga, K. Takeda, H. Kondo, ''Kenji Ishikawa'', and M. Hori.
--(INVITED) Control of internal plasma parameters toward atomic level processing.
---ECS and 2016 PRiME meeting, held at the Hilton Hawaiian Village and Hawaii Convention Center, on October 2 to 6, 2016. 3 October 2016: 09:20 room 325B, ID:1854
-600) ''K. Ishikawa'', S. Onoshima, H. Yukiwa, H. Tanaka, H. Hashizume, Y. Baba, M. Hori, T. Hase, M. Kondo, Y. Hasegawa, M. Mizuno, N. Kihara, K. Tatsukoshi, H.Odaka.
--Unobtrusively medical and health monitoring of older adults in every-day life mobility with a vehicle.
---The 3rd International Conference on Univseal Village (UV2016), Nagoya, Japan, October 6-8, 2016
-601) Daiki Kuboyama, Daisuke Onoshima, Hiroshi Yukawa, M. Tanaka, ''Kenji Ishikawa'', Masaru Hori, and Yoshinobu Baba.
--Micro sand timer in glass membrane device separates single circulating tumor cells in blood.
---The 20th International Conference on Miniaturized systems for chemistry and life sciences (MicroTAS 2016)  (Convention Center Dublin Spencer Dock, North Wall Quay Dublin, IRELAND, October 9-13, 2016). W009a 
-602) Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, Makoto Sekine, Masaru Hori.
--Atomic oxygen behavior at downstream of AC excited atmospheric pressure He plasma jet.
---69th Annual Gaseous Electronics Conference, (Bochum, Germany, October 10-14, 2016)
-603) Naoyuki Kurake,Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno,Yoko Yamanishi, Masaru Hori.
--Calcium oxalate syntheses in a solution containing glucose by the atmospheric pressure plasma irradiation.
---69th Annual Gaseous Electronics Conference, (Bochum, Germany, October 10-14, 2016)
-604) T. Amano, H. Kondo, K. Takeda, ''K. Ishikawa'', H. Kano, M. Hiramatsu, M. Sekine, M. Hori.
--Oxygen reduction reaction on highly-durable Pt/nanographene fuel cell catalyst synthesized employing in-liquid plasma.
---69th Annual Gaseous Electronics Conference, (Bochum, Germany, October 10-14, 2016)
-605) Y. Miyoshi, M. Fukasawa, K. Nagahata,T. Tatsumi, Z. Liu, Y. Zhang, A. Ando, K. Takeda, ''K. Ishikawa'', M. Sekine, M. Hori.
--Variation in photon-induced interface defects due to transient behavior of pulse modulated inductively coupled plasma.
---69th Annual Gaseous Electronics Conference, (Bochum, Germany, October 10-14, 2016)
-606) ''Kenji Ishikawa'', Masaru Hori, and Jeon Geon Han.
--(INVITED) Plasma diagnostics for thin silicon film deposition.
---7th International Symposium of the Global Research and Development Centers (GRDC 2016), (Samjung Hotel, Seoul, South Korea, October 31-November 1, 2016). Session B: Engineering 15:50-16:20, October 31, 2016
-607) ''Kenji Ishikawa'', Takayoshi Tsutsumi, Yan Zhang, Makoto Sekine, Toshio Hayashi, Masaru Hori, Yasuhiro Horiike.
--Transport mechanism on reactive species in downflow reactors for f-based etch.
---63rd International Symposium on American Vacuum Society Music City Center, Nashville, Tennessee, USA November 6-10, 2016. PS-ThA-10 17:20, November 9 Thursday 
-608) Yukihiro Kurokawa, Naoyuki Kurake, Keigo Takeda, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Generation of reactive species in medium irradiated laser-induced-plasmas.
---AVS 63rd International Symposium & Exhibition, (Nashville, Tennessee, US, November 6-11, 2016), PB+BI+PS-TuM-11 11:20, November 8 Tuesday 
-609) Masaru Izawa, Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Naoki Yasui, Motohiro Tanaka, Yasushi Sonoda, Kenichi Kuwahara, ''Kenji Ishikawa'', Masaru Hori.
--Selective cyclic plasma etching of thin films in two heating way, ion bombardment and infrared irradiation.
---AVS 63rd International Symposium & Exhibition, (Nashville, Tennessee, US, November 6-11, 2016), PS+TF-WeM-1 8:00, November 9 Wednesday 
-610) Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Kurihara, Satoshi Sakai, Masaru Izawa, ''Kenji Ishikawa'', Masaru Hori.
--Isotropic atomic layer etching of titanium nitride using formation and desorption of ammonium salt.
---AVS 63rd International Symposium & Exhibition, (Nashville, Tennessee, US, November 6-11, 2016), PS+TF-WeM-10 11:00, November 9 Wednesday 
-611) T. Ueyama, M. Iwata, Y. Fukunaga, T. Tsutsumi, K. Takeda, ''Kenji Ishikawa'', M. Sekine, Y. Ohya, M. Hori, and H. Sugai.
--Phase-resolved measurement of electron density in afterglow of synchronized dc-imposed pulsed plasmas of fluorocarbon based gases.
---2016 International Symposium on Dry Process, (Hokkaido University, November 21-22, 2016), G-3
-612) T. Hayashi, M. Sekine, ''Kenji Ishikawa'', and M. Hori.
--Unveiling aspects of alternative refrigerants involving HFO-1234ze from computational chemistry.
---2016 International Symposium on Dry Process, (Hokkaido University, November 21-22, 2016), P-8
-613) S. Takai, Y. Lu, A. F. Wilson, O. Oda, K. Takeda, H. Kondo, ''Kenji Ishikawa'', M. Sekine, and M. Hori.
--Growth of InN at a low-temperature by radical enhanced chemical vapor deposition.
---2016 International Symposium on Dry Process, (Hokkaido University, November 21-22, 2016), P-48
-614) ''Kenji Ishikawa''.
--(INVITED).
---6th NU-SKKU joint symposium on neuroscience Natural science campus SKKU, Suwon, Republic of Korea. Session 4, (7) November 25, 2016
-615) Masaru Hori, Hiromasa Tanaka, Keigo Takeda, ''Kenji Ishikawa'', Masaaki Mizuno, Fumitaka Kikkawa, Kazunori Shimizu, and Hiroyuki Honda.
--(INVITED) Functionalization of plasma activated medium and its application to cancer therapy and regenerative medicine.
---2016 MRS Fall Meeting & Exhibit, (Boston, Massachusetts, United States, Nov. 27 - Dec. 2, 2016), PM2.1.01, 8:30-9:00, November 28, 2016
-616) Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori.
--Electrochemical durability of Pt-supported carbon nanowalls synthesized using C2F6/H2 mixture plasma.
---2016 MRS Fall Meeting & Exhibit, (Boston, Massachusetts, United States, Nov. 27 - Dec. 2, 2016), NM3.7.31, 
-617) Hirotsugu Sugiura, Lingyyun Jia, Shuichi Sato, Hiroki Kondo, Keigo Takeda, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Effects of residence time on growth characteristics and properties of amorphous carbon films grown by radical injection plasma enhanced chemical vapor deposition.
---2016 MRS Fall Meeting & Exhibit, (Boston, Massachusetts, United States, Nov. 27 - Dec. 2, 2016), PM5.3.17, 
-618) Takashi Kako, ''Kenji Ishikawa'', Osamu Oda, Makoto Sekine, and Masaru Hori.
--A new concept and high performances of gan etching employing the halogen gas-free plasma chemistry.
---The 26th annual meeting of MRS-J, (Yokohama, Japan, December 19–22, 2016), C4-O19-013 Dec. 19, 17:15-17:30
-619) Takayoshi Tsutsumi, Yusuke Fukunaga, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, And Masaru Hori.
--Advanced plasma etching processing with feedback control of wafer temperature for fabrication of atomic-scale organic devices.
---The 26th annual meeting of MRS-J, (Yokohama, Japan, December 19–22, 2016), C4-O19-014 Dec. 19, 17:30-17:45
-620) Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, Takashi Kako, ''Kenji Ishikawa'', And Masaru Hori.
--GaN epitaxial growth by plasma assisted rf sputter deposition.
---The 26th annual meeting of MRS-J, (Yokohama, Japan, December 19–22, 2016), C4-P20-001 Dec. 20, 16:00-18:00
-621) ''Kenji Ishikawa'', Naoyuki Kurake, Hiroshi Hashizume, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Ajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Shinya Toyokuni, And Masaru Hori.
--(INVITED) Plasma-activated medium (PAM) and metabolic analysis of glioblastoma (U251SP).
---The 26th annual meeting of MRS-J, (Yokohama, Japan, December 19–22, 2016), C4-I21-002 Dec. 21, 10:00-10:30
-622) Suiki Tanaka, Tomonori Ichikawa, Hiroki Kondo, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Makoto Sekine, Masaru Hori.
--A novel controlling method of proliferation of cultured cells on carbon nanowalls scaffold with an electric stimulation.
---The 26th annual meeting of MRS-J, (Yokohama, Japan, December 19–22, 2016), C4-O21-006 Dec. 21, 13:30-13:45
-623) ''Kenji Ishikawa'', Naoyuki Kurake, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi, And Masaru Hori.
--Synthesis of oxalic acids and crystallization of calcium oxalate dihydrates in culture medium irradiated with non-equilibrium atmospheric-pressure plasma.
---The 26th annual meeting of MRS-J, (Yokohama, Japan, December 19–22, 2016), C4-P21-021 Dec. 21, 16:00-18:00

----
#include(MenuTab2,notitle)
#include(Footer,notitle)