ProceedingIntern21 の変更点


#author("2022-07-18T21:44:00+09:00","default:ishikawa","ishikawa")
#author("2022-07-18T22:21:47+09:00","default:ishikawa","ishikawa")
#include(MenuTab1,notitle)

Proceedings of international conferences

2021

** 3rd international workshop on plasma agriculture (IWOPA3) Greifswald, Germany. online, March 1-3, 2021

-Plasma applications for agriculture from seeds to field
--Abstract book: p.13
---Hiroshi Hashizume, Hiroko Mizuno, Akiko Abe, Kenki Tsubota, Genki Yuasa, Satoe Tohno, Mikiko Kojima, Yumiko Takebayashi, Hiromasa Tanaka, ''Kenji Ishikawa'', Masafumi Ito, Hidemi Kitano, Shogo Matsumoto, Hitoshi Sakakibara, Takayuki Okuma, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
-901) Hiroshi Hashizume, Hiroko Mizuno, Akiko Abe, Kenki Tsubota, Genki Yuasa, Satoe Tohno, Mikiko Kojima, Yumiko Takebayashi, Hiromasa Tanaka, ''Kenji Ishikawa'', Masafumi Ito, Hidemi Kitano, Shogo Matsumoto, Hitoshi Sakakibara, Takayuki Okuma, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
--Plasma applications for agriculture from seeds to field
---Abstract book: p.13
-902) ''Kenji Ishikawa'', Ryo Arita, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Tomoaki Yoshida, Pankaj Attri, Kunihiro Kamataki, Naho Itagaki, Daisuke Yamashita, Kayo Matsuo, Kazunori Koga, and Masaharu Shiratani
--Electron spin resonace study on germination dynamics of plasma-activated seeds of radish sprouts
---Abstract book: p. 25
-903) Kazunori Koga, Pankaj Attri, Ryo Arita, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Kayo Matsuo, Daisuke Yamashita, ''Kenji Ishikawa'', Naho Itagaki, Kunihiro Kamataki, Masaharu Shiratani, and Vida Mildaziene
--Roles of seed pigments in responses of seeds to plasma treatment
---Abstract book: p. 53

-Electron spin resonace study on germination dynamics of plasma-activated seeds of radish sprouts
--Abstract book: p. 25
---''Kenji Ishikawa'', Ryo Arita, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Tomoaki Yoshida, Pankaj Attri, Kunihiro Kamataki, Naho Itagaki, Daisuke Yamashita, Kayo Matsuo, Kazunori Koga, and Masaharu Shiratani

-Roles of seed pigments in responses of seeds to plasma treatment
--Abstract book: p. 53
---Kazunori Koga, Pankaj Attri, Ryo Arita, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Kayo Matsuo, Daisuke Yamashita, ''Kenji Ishikawa'', Naho Itagaki, Kunihiro Kamataki, Masaharu Shiratani, and Vida Mildaziene


**13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) March 8-11, 2021

-Dependency of bactericidal effect in oxygen-radical exposed E. coli suspension containing L-tryptophan on its concentration
--08pE13O
---Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Jun-Seok Oh, Masafumi Ito and Masaru Hori
-904) Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Jun-Seok Oh, Masafumi Ito and Masaru Hori
--Dependency of bactericidal effect in oxygen-radical exposed E. coli suspension containing L-tryptophan on its concentration
---08pE13O
-905) Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, ''Kenji Ishikawa'', and Masaru Hori
--A comparative study on inn growth at very high frequencies (VHF) by radical enhanced metalorganic chemical vapor deposition (REMOCVD).
---08pD15O
-906) Atsushi Ozaki, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori
--Crystalline structures and local electrical conductivity at crossing points of carbon nanowalls
---08pC16O
-907) Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori
--Design of removal process of SnO2 on glass by H2/Ar plasma at atmospheric pressure and medium pressure
---09pB15O
-908) Hiroshi Hashizume, Shogo Matsumoto, Kenki Tsubota, Kaoru Sanda, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Masafumi Ito, Hidemi Kitano, Hitoshi Sakakibara, Susumu Nikawa, Takayuki Okuma, Masayoshi Maeshima,Masaaki Mizuno, and Masaru Hori
--Quality increase of fruits with plasma treatment on strawberry cultivation
---09pE08O
-909) Ryusei Sakai, Hiroki Kondo, ''Kenji Ishikawa'', Takayuki Ohta, Mineo Hiramatsu, Naohiro Shimizu, and Masaru Hori
--Effect of wall-to-wall distance of carbon nanowalls on survival yield in surface assisted laser desorption/ionization mass spectrometry
---09aC01O
-910) Shogo Matsumura, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito, and Masaru Hori
--Enhancement of alcohol production of budding yeast by direct irradiation of nonequilibrium atmospheric pressure plasma
---9pE09O
-911) Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, ''Kenji Ishikawa'', Makoto Sekine, Masafumi Ito and Masaru Hori
--Reversible change in surface morphology of lipid bilayer induced by indirect plasma irradiation
---09aE05O
-912) Yuki Suda, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori
--Selective killing effects of organics in plasma-activated Ringer's solutions
---10aE05O
-913) B. B. Sahu, S.Hattori, T. Tsutsumi, N. Britun, Makoto Sekine, ''Kenji Ishikawa'', H. Tanaka,T. Gohira, Y. Ohya, Noriyasu Ohno, and Masaru Hori
--Measurements of negative ion density and plasma parameters in Ar/O2/C4F8 etching plasmas by Langmuir probe-assisted laser photodetachment
---08P-11
-914) Yuta Yoshida, Takayuki Ohta, ''Kenji Ishikawa'', and Masaru Hori
--IR spectra of monosaccharide treated with atmospheric pressure plasma using sum frequency generation spectroscopy
---08P-15
-915) Jumpei Kurokawa, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi,Makoto Sekine and Masaru Hori
--Initial growth kinetics of hydrogenated amorphous carbon films observed by real-time ellipsometry
---08P-17
-916) Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, ''Kenji Ishikawa'', and Masaru Hori
--Atomic Layer Etching of GaN Using Cl2/Ar Plasma at 400℃
---08P-19
-917) Liugang Hu, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
--Quantitative analyses of graphene layer etching using oxygen radicals generated in remote plasma for realization of atomic layer etching
---08P-21
-918) Taito Yoshie, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori
--Pressure and flow rate dependence of active species in gas modulation cycle process using Ar/C4F8/SF6
---08P-23
-919) Suganthamalar Selvaraj, Masahiro Hazumi, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki  Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
--Study of etching process using gas condensed layer at cryogenic temperature 1. Evaluation of CHF3 condensed layer thickness on SiO2 surface
---08P-24
-920) Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
--(Short presentation award) Study of etching process using gas condensed layer at cryogenic temperature 2. Evaluation of cycle etching using gas condensed layer
---08P-25
-921) Tatsuyuki Moriyama, Yosuke Sato, Akio Ui, Shotaro Oka, ''Kenji Ishikawa'', Takayoshi Tsutsumi and Masaru Hori
--Ion-attachment mass spectrometric analysis of odorous gas decomposition by atmospheric pressure plasma
---08P-32
-922) Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
--Effects on substrate temperature on the etching behaviors of PECVD- and LPCVD-prepared SiN thin films with CF4/H2 plasmas
---09P-31
-923) Daiki Ito, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori
--Dependence of nitrogen concentrations on cytotoxicity of air-free Ar-N2 mixed atmospheric pressure plasma-activated lactated solutions
---10P-31
-924) Kaoru Sanda, Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
--Efficacy of plasma treatment in a paddy field for yield and grain quality of rice
---10P-39
-925) Takumi Yamauchi, ''Kenji Ishikawa'',  Hiromasa Tanaka,  Shin'ichi Akiyama, Hiroshi Hashizume and Masaru Hori
--Effects of non-equilibrium atmospheric pressure plasma on aquaculture feed
---10P-41

-A comparative study on inn growth at very high frequencies (VHF) by radical enhanced metalorganic chemical vapor deposition (REMOCVD).
--08pD15O
---Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, ''Kenji Ishikawa'', and Masaru Hori

-Crystalline structures and local electrical conductivity at crossing points of carbon nanowalls
--08pC16O
---Atsushi Ozaki, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

-Design of removal process of SnO2 on glass by H2/Ar plasma at atmospheric pressure and medium pressure
--09pB15O
---Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-Quality increase of fruits with plasma treatment on strawberry cultivation
--09pE08O
---Hiroshi Hashizume, Shogo Matsumoto, Kenki Tsubota, Kaoru Sanda, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Masafumi Ito, Hidemi Kitano, Hitoshi Sakakibara, Susumu Nikawa, Takayuki Okuma, Masayoshi Maeshima,Masaaki Mizuno, and Masaru Hori

-Effect of wall-to-wall distance of carbon nanowalls on survival yield in surface assisted laser desorption/ionization mass spectrometry
--09aC01O
---Ryusei Sakai, Hiroki Kondo, ''Kenji Ishikawa'', Takayuki Ohta, Mineo Hiramatsu, Naohiro Shimizu, and Masaru Hori

-Enhancement of alcohol production of budding yeast by direct irradiation of nonequilibrium atmospheric pressure plasma
--9pE09O
---Shogo Matsumura, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito, and Masaru Hori

-Reversible change in surface morphology of lipid bilayer induced by indirect plasma irradiation
--09aE05O
---Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, ''Kenji Ishikawa'', Makoto Sekine, Masafumi Ito and Masaru Hori

-Selective killing effects of organics in plasma-activated Ringer's solutions
--10aE05O
---Yuki Suda, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori

-Measurements of negative ion density and plasma parameters in Ar/O2/C4F8 etching plasmas by Langmuir probe-assisted laser photodetachment
--08P-11
---B. B. Sahu, S.Hattori, T. Tsutsumi, N. Britun, Makoto Sekine, ''Kenji Ishikawa'', H. Tanaka,T. Gohira, Y. Ohya, Noriyasu Ohno, and Masaru Hori

-IR spectra of monosaccharide treated with atmospheric pressure plasma using sum frequency generation spectroscopy
--08P-15
---Yuta Yoshida, Takayuki Ohta, ''Kenji Ishikawa'', and Masaru Hori

-Initial growth kinetics of hydrogenated amorphous carbon films observed by real-time ellipsometry
--08P-17
---Jumpei Kurokawa, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi,Makoto Sekine and Masaru Hori

-Atomic Layer Etching of GaN Using Cl2/Ar Plasma at 400℃
--08P-19
---Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, ''Kenji Ishikawa'', and Masaru Hori

-Quantitative analyses of graphene layer etching using oxygen radicals generated in remote plasma for realization of atomic layer etching
--08P-21
---Liugang Hu, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-Pressure and flow rate dependence of active species in gas modulation cycle process using Ar/C4F8/SF6
--08P-23
---Taito Yoshie, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-Study of etching process using gas condensed layer at cryogenic temperature 1. Evaluation of CHF3 condensed layer thickness on SiO2 surface
--08P-24
---Suganthamalar Selvaraj, Masahiro Hazumi, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki  Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-(Short presentation award) Study of etching process using gas condensed layer at cryogenic temperature 2. Evaluation of cycle etching using gas condensed layer
--08P-25
---Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-Ion-attachment mass spectrometric analysis of odorous gas decomposition by atmospheric pressure plasma
--08P-32
---Tatsuyuki Moriyama, Yosuke Sato, Akio Ui, Shotaro Oka, ''Kenji Ishikawa'', Takayoshi Tsutsumi and Masaru Hori

-Effects on substrate temperature on the etching behaviors of PECVD- and LPCVD-prepared SiN thin films with CF4/H2 plasmas
--09P-31
---Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-Dependence of nitrogen concentrations on cytotoxicity of air-free Ar-N2 mixed atmospheric pressure plasma-activated lactated solutions
--10P-31
---Daiki Ito, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-Efficacy of plasma treatment in a paddy field for yield and grain quality of rice
--10P-39
---Kaoru Sanda, Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

-Effects of non-equilibrium atmospheric pressure plasma on aquaculture feed
--10P-41
---Takumi Yamauchi, ''Kenji Ishikawa'',  Hiromasa Tanaka,  Shin'ichi Akiyama, Hiroshi Hashizume and Masaru Hori



**47th Conference on Plasma Physics (EPS47) online, June 21-25, 2021 

-Numerical analysis of high-electron-density atmospheric pressure argon streamer under pin-to-plane electrode geometry: Effects of applying voltage polarity
--Oral
---Yosuke Sato, ''Kenji Ishikawa'', Takayoshi Tsutsumi, A. Ui, M. Akita, S. Oka, and Masaru Hori
-926) Yosuke Sato, ''Kenji Ishikawa'', Takayoshi Tsutsumi, A. Ui, M. Akita, S. Oka, and Masaru Hori
--Numerical analysis of high-electron-density atmospheric pressure argon streamer under pin-to-plane electrode geometry: Effects of applying voltage polarity
---Oral

**8th International Conference on Plasma Medicine (ICPM8) online, August 2-6, 2021

-(Invited) Cell Death Mechanisms by Plasma Activated Medium and Plasma Activated Ringer’s Lactate Solution
--FrB1-1 09:00-09:25 August 6, 2021
---Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, and Fumitaka Kikkawa
-927) Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, and Fumitaka Kikkawa
--(Invited) Cell Death Mechanisms by Plasma Activated Medium and Plasma Activated Ringer’s Lactate Solution
---FrB1-1 09:00-09:25 August 6, 2021
-928) Hiromasa Tanaka, Shogo Maeda, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinaya Toyokuni, M. Ito, K. Ohno, Fumitaka Kikkawa, and Masaru Hori
--Analysis of Cellular Respiration in Plasma-activated Solutions-treated Cancer Cells
---FrB1-4 10:05-10:20 August 6, 2021
-929) ''Kenji Ishikawa'', Ryo Arita, Takamasa Okumura, Pankaj Attri, Kazunori Koga, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Kayo Matsuo, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Masaru Hori, and Masaharu Shiratani
--Dynamical Changes in Free-radical Signals of Seeds during Water Imbibition and Seed Germination: Analysis of Plasma-Irradiation Effects Using an Electron Spin Resonance (ESR) Technique
---WeB1-3 09:40-09:55 August 4, 2021
-930) Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
--Efficacy for Rice Plant Growth with Plasma Irradiation to Seeds
---WeB1-5 10:10-10:25 August 4, 2021

-Analysis of Cellular Respiration in Plasma-activated Solutions-treated Cancer Cells
--FrB1-4 10:05-10:20 August 6, 2021
---Hiromasa Tanaka, Shogo Maeda, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinaya Toyokuni, M. Ito, K. Ohno, Fumitaka Kikkawa, and Masaru Hori

-Dynamical Changes in Free-radical Signals of Seeds during Water Imbibition and Seed Germination: Analysis of Plasma-Irradiation Effects Using an Electron Spin Resonance (ESR) Technique
--WeB1-3 09:40-09:55 August 4, 2021
---''Kenji Ishikawa'', Ryo Arita, Takamasa Okumura, Pankaj Attri, Kazunori Koga, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Kayo Matsuo, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Masaru Hori, and Masaharu Shiratani

-Efficacy for Rice Plant Growth with Plasma Irradiation to Seeds
--WeB1-5 10:10-10:25 August 4, 2021
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

**20th Interfinish World Congress online, September 6-8, 2021

-High ionization of analytes for oxygen doped carbon nanowall in surface-assisted laser desorption ionization mass spectrometry (SALDI-MS)
--E-SEP07-005 September 7, 2021 9:40-10:00
---''Kenji Ishikawa'', Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori
-Development of new biodegradation technology by surface modification of polyethylene terephthalate using atmospheric pressure plasma
--E-SEP07-005 September 7, 2021 10:00-10:20
---Daichi Goto, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
-Development of the nanocarbon coating process on metal surfaces via in-liquid plasma
--B-SEP06-002 September 6, 2021 
---Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
-Nanosecond high-voltage pulse imposed chemical vapor deposition (ns HV CVD) of sparsely isolated carbon nanowalls
--D-SEP07-008 September 7, 2021 
---Tomonori Ichikawa, ''Kenji Ishikawa'', Naohiro Shimizu, and Masaru Hori
-Deformation properties of carbon nanowalls analyzed by nanoindentation
--D-SEP07-009 September 7, 2021 
---Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, ''Kenji Ishikawa'', and Masaru Hori
-Epitaxial growth of InN film on GaN template by radical-enhanced metalorganic chemical vapor deposition (REMOCVD)
--G-SEP07-010 September 7, 2021 
---Frank Wilson Amalraj, Arun Kumar Dhasiyan, Naohiro Shimizu, Osamu Oda, ''Kenji Ishikawa, and Masaru Hori
-Improvement of Hydrophilic Treatment of Atmospheric Pressure Plasma and its Oxygen Radical Densities
--
---Seigo Takashima, Takahiro Jindo, ''Kenji Ishikawa'', and Masaru Hori
-931) ''Kenji Ishikawa'', Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori
--High ionization of analytes for oxygen doped carbon nanowall in surface-assisted laser desorption ionization mass spectrometry (SALDI-MS)
---E-SEP07-005 September 7, 2021 9:40-10:00
-932) Daichi Goto, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
--Development of new biodegradation technology by surface modification of polyethylene terephthalate using atmospheric pressure plasma
---E-SEP07-005 September 7, 2021 10:00-10:20
-933) Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
--Development of the nanocarbon coating process on metal surfaces via in-liquid plasma
---B-SEP06-002 September 6, 2021 
-934) Tomonori Ichikawa, ''Kenji Ishikawa'', Naohiro Shimizu, and Masaru Hori
--Nanosecond high-voltage pulse imposed chemical vapor deposition (ns HV CVD) of sparsely isolated carbon nanowalls
---D-SEP07-008 September 7, 2021 
-935) Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, ''Kenji Ishikawa'', and Masaru Hori
--Deformation properties of carbon nanowalls analyzed by nanoindentation
---D-SEP07-009 September 7, 2021 
-936) Frank Wilson Amalraj, Arun Kumar Dhasiyan, Naohiro Shimizu, Osamu Oda, ''Kenji Ishikawa, and Masaru Hori
--Epitaxial growth of InN film on GaN template by radical-enhanced metalorganic chemical vapor deposition (REMOCVD)
---G-SEP07-010 September 7, 2021 
-937) Seigo Takashima, Takahiro Jindo, ''Kenji Ishikawa'', and Masaru Hori
--Improvement of Hydrophilic Treatment of Atmospheric Pressure Plasma and its Oxygen Radical Densities
---Poster

----

The other 

-Application of Atmospheric Pressure Plasma to Rapid Dry Etching of Polymer Contamination on Floor
--P-B2-014
---Y Sakamoto, Takayoshi Tsutsumi, and Masaru Hori
-Bactericidal effects of plasma-treated water with ultrasonic vibration on biofilm-forming bacteria
--P-F2-004
---Masafumi Ito, Yumiko Komori, and Masaru Hori
-High performances of growth of nitride semiconductors in MBE with a high-density nitrogen radical source
--P-B1-012
---Kiyoshi Kuwahara, Hiroki Kondo, Osamu Oda, Koji Yamakawa, Shoji Den, Yoshihiro Nakai, and Masaru Hori
-Effects of high-density nitrogen radical irradiation on epitaxial growth of high-In content InGaN layer
--G-SEP07-004
---Hiroki Kondo, Kiyoshi Kuwahara, Arun Kumar Dhasiyan, Osamu Oda, Koji Yamakawa, Shoji Den, Yoshihiro Nakai, and Masaru Hori

**5th Asia Pacific Conference on Plasma Physics, Division of Plasma Physics, Association of Asia-Pacific Physical Societies (AAPPS-DPP2021), September 6-October 1, 2021

-[INVITED] Low-temperature plasma-activated solutions and metabolic modification
--AB-PL3(A) September 27, 2021 15:10-15:50
---Kenji Ishkawa, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
-938) ''Kenji Ishkawa'', Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
--(INVITED) Low-temperature plasma-activated solutions and metabolic modification
---AB-PL3(A) September 27, 2021 15:10-15:50


**74th Annual Gaseous Electronics Conference (GEC), October 4-8, 2021

-(Invited) Absorption spectroscopy of reactive species generated by non-thermal process plasmas
--UF21.00002
---Keigo Takeda, Kenji Ishikawa, Mineo Hiramatsu, and Masaru Hori
-939) Keigo Takeda, ''Kenji Ishikawa'', Mineo Hiramatsu, and Masaru Hori
--(Invited) Absorption spectroscopy of reactive species generated by non-thermal process plasmas
---UF21.00002

**240th Electrochemical Society (ECS) Meeting, October 10-14, 2021

-(Invited) Controlled synthesis of functional carbon nanomaterials by gas-liquid interface plasma
--D06-0680 - 
---H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori
-940) H. Kondo, T. Tsutsumi, ''K. Ishikawa'', M. Sekine, and M. Hori
--(Invited) Controlled synthesis of functional carbon nanomaterials by gas-liquid interface plasma
---D06-0680

**Advanced Metallization Conference 2021, October 12-15, 2021

-Leading edge plasma etching technologies
--Advanced Metallization Conference 2021: 30th Asian Session, Tutorial October 12, 2021
---Kenji Ishikawa
-941) ''Kenji Ishikawa''
--(Tutorial INVITED) Leading edge plasma etching technologies
---Advanced Metallization Conference 2021: 30th Asian Session, Tutorial October 12, 2021

**67th AVS International Symposium and Exhibition, October 24-29, 2021

-Floating wire assisted plasma with vapor injection of liquid mixtures for etching titanium compounds
--67th AVS International Symposium and Exhibition, online, October 24-29, 2021. PS-10
---Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori
-942) Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori
--Floating wire assisted plasma with vapor injection of liquid mixtures for etching titanium compounds
---67th AVS International Symposium and Exhibition, online, October 24-29, 2021. PS-10
-943) Kazunori Shinoda, M. Hasegawa, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, ''Kenji Ishikawa'', and Masaru Hori.
--In-situ analysis of surface reactions for plasma-assisted thermal-cyclic atomic layer etching of tantalum nitride,
---67th AVS International Symposium and Exhibition, online, October 24-29, 2021. AP-4

-In-situ analysis of surface reactions for plasma-assisted thermal-cyclic atomic layer etching of tantalum nitride,
--67th AVS International Symposium and Exhibition, online, October 24-29, 2021. AP-4
---Kazunori Shinoda, M. Hasegawa, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, Kenji Ishikawa, and Masaru Hori.

**34th International Microprocesses and Nanotechnology Conference (MNC2021), October 26-29, 2021

**30th International Toki Conference on Plasma and Fusion Research, November 15-18, 2021

-Toward plasma cancer therapy and intracellular metabolic modifications by treatments using low-temperature plasma-activated solutions
--*
---''Kenji Ishkawa'', Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
-944) ''Kenji Ishkawa'', Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
--(PLENARY) Toward plasma cancer therapy and intracellular metabolic modifications by treatments using low-temperature plasma-activated solutions
---30th International Toki Conference on Plasma and Fusion Research, November 15-18, 2021

**42nd International Symposium on Dry Process (DPS), November 18-19, 2021

-Transient effects in cyclic processes on fabrications of high-aspect-ratio trenches
--D-1
---Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
-Random forest model for property control of plasma deposited hydrogenated amorphous carbon films
--D-4
---Junpei Kurokawa, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
-Atomic layer etching of GaN using F2-added Ar plasma removal of BCl3 modified layer at high temperature
--I-2
---Shohei Nakamura Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, and Masaru Hori
-945) Taito Yoshie, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori
--Transient effects in cyclic processes on fabrications of high-aspect-ratio trenches
---D-1
-946) Junpei Kurokawa, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori
--Random forest model for property control of plasma deposited hydrogenated amorphous carbon films
---D-4
-947) Shohei Nakamura Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, ''Kenji Ishikawa'', and Masaru Hori
--Atomic layer etching of GaN using F2-added Ar plasma removal of BCl3 modified layer at high temperature
---I-2

----

The other

-Selective plasma-enhanced atomic layer etching of SiO2 using a silane coupling agent
--B-4
---Airah Osonio, and Masaru Hori

**12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12) 

-Components in Plasma-Activated Ringer’s Lactate Solution that Induce Cell Death on U251SP Glioblastoma Cells
--[O13-3] 16:17
----Hiromasa Tanaka, Yugo Hosoi, ''Kenji Ishikawa'', Jun Yoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
-948) Hiromasa Tanaka, Yugo Hosoi, ''Kenji Ishikawa'', Jun Yoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
--Components in plasma-activated ringer’s lactate solution that induce cell death on U251SP glioblastoma cells
---[O13-3] 16:17

-Comparison of CF4/H2 and HF/H2 Plasmas for Etching of PECVD-Prepared SiN Films
--[O14-3] 16:17
---Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nga, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
-949) Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nga, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori
--Comparison of CF4/H2 and HF/H2 Plasmas for Etching of PECVD-Prepared SiN Films
---[O14-3] 16:17



**Material Research Meeting (MRM 2020), December 12-17, 2021

-[Keynote] Functional nitrogen science for plasma-processing in life and matter
--Keynote H2-O10-01 December 16, 2021 16:00-16:30
---''Kenji Ishikawa'', Toshiro Kaneko, and Masaru Hori
-Optical transmission of carbon nanowalls from ultra-violet region to infra-red region
--H2-O8-06
---Shintaro Iba, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
-950) ''Kenji Ishikawa'', Toshiro Kaneko, and Masaru Hori
--(Keynote) Functional nitrogen science for plasma-processing in life and matter
---Keynote H2-O10-01 December 16, 2021 16:00-16:30
-951) Shintaro Iba, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
--Optical transmission of carbon nanowalls from ultra-violet region to infra-red region
---H2-O8-06

----

The others

-[Plenary] Novel plasma processing for sustainable society
--Plenary
---Masaru Hori

**31st Material Research Society in Japan (MRS-J) December 12-15, 2021

-[INVITED] Plasma-biological reaction networks and aqueous radical chemistry
--H-K13-007
---''Kenji Ishkawa'', Camelia Miron, Takashi Kondo, Hiromasa Tanaka, and Masaru Hori
-Rebound Tailing Pulse method for water reformation
--31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-004
---Naohiro Shimizu, Ranjit Borude, Reiko Tanaka, ''Kenji Ishikawa'', Osamu Oda, Hiroki Hosoe, Satoru Ino, Yosuke Inoue, and Masaru Hori
-Growth promotion of cultured feed Artemia irradiated with low-temperature plasma
--31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-008
---Takumi Yamauchi, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Shin'ichi Akiyama, and Masaru Hori
-Production of plasma-activated Ringer's lactate solution with regulated surrounding atmosphere
--31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-010
---Daiki Ito, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
-Anti-tumor effect of plasma-activated solution produced by the flowing system
--31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-012
---Satoshi Kashiwagura, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Camelia Miron, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori	
-Effects of cold plasma treatment with rice seedlings in a paddy on yield and grain quality for different cultivars
--31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-014
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
-Cellular Respiration System Affected by Low-temperature Plasma
--31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-016
---Hiromasa Tanaka, Shogo Maeda, Shogo Matsumura, Masaaki Mizuno, ''Kenji Ishikawa'', Masafumi Ito, Hiroshi Hashizume, Mikako Ito, Kinji Ohno, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Yasumasa Okazaki, Shinya Toyokuni, and Masaru Hori
-Enhancement of biodegradation technology of polyethylene terephthalate with plasma-pretreatment
--31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O15-002
---Daichi Goto, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
-952) ''Kenji Ishkawa'', Camelia Miron, Takashi Kondo, Hiromasa Tanaka, and Masaru Hori
--(INVITED) Plasma-biological reaction networks and aqueous radical chemistry
---H-K13-007
-953) Naohiro Shimizu, Ranjit Borude, Reiko Tanaka, ''Kenji Ishikawa'', Osamu Oda, Hiroki Hosoe, Satoru Ino, Yosuke Inoue, and Masaru Hori
--Rebound Tailing Pulse method for water reformation
---31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-004
-954) Takumi Yamauchi, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Shin'ichi Akiyama, and Masaru Hori
--Growth promotion of cultured feed Artemia irradiated with low-temperature plasma
---31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-008
-955) Daiki Ito, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
--Production of plasma-activated Ringer's lactate solution with regulated surrounding atmosphere
---31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-010
-956) Satoshi Kashiwagura, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Camelia Miron, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
--Anti-tumor effect of plasma-activated solution produced by the flowing system
---31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-012
-957) Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
--Effects of cold plasma treatment with rice seedlings in a paddy on yield and grain quality for different cultivars
---31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-014
-958) Hiromasa Tanaka, Shogo Maeda, Shogo Matsumura, Masaaki Mizuno, ''Kenji Ishikawa'', Masafumi Ito, Hiroshi Hashizume, Mikako Ito, Kinji Ohno, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Yasumasa Okazaki, Shinya Toyokuni, and Masaru Hori
--Cellular Respiration System Affected by Low-temperature Plasma
---31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O13-016
-959) Daichi Goto, Naoyuki Iwata, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
--Enhancement of biodegradation technology of polyethylene terephthalate with plasma-pretreatment
---31st Material Research Society in Japan (MRS-J) Yokohama, Japan December 12-15, 2021, H-O15-002

**13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2021), %%September 26-30, 2021%%
----

POSTPONED 13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2021), %%September 26-30, 2021%%

-[INVITED] Plasma in Healthcare : As tool of Regenerative Medicine and Development
--Tutorial 3
---''Kenji Ishikawa''

-Time variation of particle behavior in gas modulation cycle process using Ar/C4F8/SF6
--*
---Taito Yoshie, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori
-Comprehensive analyses revealed the differences of cell death mechanisms between PAM- and PAL-treated glioblastoma
--*
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori	


----
#include(MenuTab2,notitle)