Book03 の変更点


#author("2020-11-20T23:11:39+09:00","default:ishikawa","ishikawa")
*第6章 エッチング工程の手法およびレジスト・レジストパターンへの影響 [#secc615a]
*第1節 エッチング手法・装置各種およびレジスト・レジストパターンへの影響 [#zd6e93d3]

**はじめに [#k4698b0c]

 プラズマプロセスは,1968年にポリマーの酸素プラズマによるエッチングとして使用され始めたことを発端として,今から遡ること30年以上前の1974年に細川らによって反応性イオンエッチングが開発され,レジストマスクをもって下地材料に異方性をもってパターン転写する技術として半導体デバイスの製造工程に導入された [1].それ以来,大規模集積回路の微細化を実現する基幹技術として現在に至るまで,このプラズマエッチング技術は半導体プロセス技術の牽引役を担ってきている.

 このプラズマエッチング技術を科学的に理解して制御を実現していくには,プラズマから生成される電子,イオン,ラジカル,光といった様々な粒子の被加工物ならびにレジストマスクといった材料の表面での化学反応を理解しなければならない.どのような粒子が固体表面に入射して,固体表面上でどのような化学反応を引き起こすのか,という点について,これまでにも多くの研究がなされ 定量的なデータ取得が試みられ,それに基づき,その機構解明が目指されてきている.原子レベルで これら表面反応を理解するよう,プラズマ照射される表面のその場(in-situ)解析,さらにはプラズマと物質表面の相互作用を実時間(リアルタイム)計測によって解き明かされることが望ましく,これらの情報に基づいた深い洞察・学術的な体系化された学際領域としてプラズマ科学の確立が必然となっている.

 歴史的に半導体プロセスはウェハプロセスによってなされ,材料となるウェハ表面にデバイスが作製されてきた.半導体デバイスには,素子分離,ゲート電極,不純物導入領域,コンタクト・ビア,配線などを主にして様々なパターンの形成が必要となる.この平面上に区画された回路,すなわちパターン・レイアウトをウェハ上に刻みつけることこそがエッチングプロセスの役割である.これらほとんどのパターンはフォトリソグラフィー技術によって作製されたレジストマスクによって製膜される.このレジストパターンを元に忠実に下地材料にエッチャントを作用させて除去するエッチングによって実現される.ここで要求されることは,改めていうまでもないが,エッチャントの下地材料への化学選択的な反応,レジスト材料とは逆に無反応ないし非選択的に反応を抑制することである.さらに,これら要求に加え,加工速度,加工形状,被加工材料への選択性,下地材料の無損傷などについて,所望の条件が課せられる.

 エッチング(工程)には数多くの方法が用いられているが,大別すれば化学薬品の溶液を使った,1)ウェットエッチング,ハロゲンガスなどの放電プラズマを使った,2)ドライエッチングが挙げられる.また,ドライエッチングには,狭義にプラズマエッチングと呼ばれる,被加工ウェハにプラズマに曝される方法があり,この場合はイオン,ラジカル,光が作用して反応が進むことになる.一方,プラズマ生成領域から加工ウェハの置かれる場合にはリモートプラズマなどと呼ばれ,イオンの影響は低下していきラジカルの作用が主となってくる.同様に,荷電粒子をプラズマに隣接させたファラデーゲージの設置で取り除いた領域にウェハが置かれる場合にもイオンの影響は除去される.これらラジカルの作用が強いものは狭義にドライエッチングと呼ばれる.他にも,プラズマ領域から電極を設けてイオンビームとして引き出し,比較的低圧下で照射する方法はイオンビームエッチングと呼ばれ,イオンの作用が支配的になる. 

 前記した狭義のプラズマエッチングは,レジストのパターン寸法を下地材料に精確に転写することが可能なので,現在の超微細加工に欠かせない技術である.加工形状の断面をみた時の垂直加工性,ないし異方性加工の実現できる方法の一つであり,図1で示すような断面の加工形状の発展がみられる.一方で,狭義のドライエッチングないしウェットエッチングなどでは特別な場合を除いて等方性加工となる.(特別な場合とは,被加工材料の結晶面方位や不純物・化合物形成などがあって,自己整合的な加工速度差を生じている時である.)殊に,等方性加工の問題点としてマスクパターンの寸法に対して,被加工材料の深さ方法は十分薄くなければならないことが前提であり,パターン寸法に比べ剥離する膜の厚さに応じて寸法肥大することは一目瞭然であろう.したがって,超微細加工をする上で垂直加工性が欠かせないのである.まず本節では,これらエッチング手法の技術について概説しておく.
 
図1 エッチング加工の断面模式図,左から等方性,方向性,垂直性,異方性のものを示す. +は垂直入射する正イオン,Fはエッチャント,nは堆積種

**1. ドライエッチング [#gee78ede]

 まずプラズマの生成について説明すれば,適度に減圧された雰囲気に電力を印加することにより雰囲気ガスの絶縁破壊を生じて生成される [2].もともとガスは電気を通さない絶縁性の媒質であるが,これに電界を掛けて電離エネルギーを超えるまで電子を加速してガス分子と衝突させると,電離反応によって一対の電子と正電荷をもったイオン(正イオン)を生じる.この電離生成した電子と正イオンが再結合により消失してしまう.この再結合消失よりも多くの電離生成をを電子なだれとしての電離増幅(α作用),表面二次電子放出としての電子増殖(γ作用)が勝ればプラズマ生成が維持されると理解される.このことからもプラズマ生成には電極間隔とガス圧力の積に対して必要な電圧が極小値をもち,これはパッシェン(Paschen)則として知られている.高い圧力ではガスとの衝突が多すぎて,電子がイオン化に必要なエネルギーを得ることができずプラズマ密度は増加しないが,低い圧力ではガスとの衝突が少なすぎてこちらもプラズマ密度が増加しない.よく100Paで1cm程度となる時に極小となる.これまで,暗に電極は導体であり直流電力の印加を想定して説明してきた.より適用範囲を広げれば,電極に絶縁物が付着したり,そもそも絶縁物を設けた場合には,直流は流れないので,その代わりに高周波やマイクロ波の交流電場を使うことになる.α作用やγ作用に加えて,電離生成する過程はシース振動による統計的加熱(フェルミ加熱)や,マイクロ波の場合では生成したプラズマに表面波として励起(表面波プラズマ)が加わることで効率的にプラズマを維持できる.他にも磁界をつかった誘導結合プラズマや磁場中で電子サイクロトロン共鳴といった放電方式も使われる.エッチングで使用されるプラズマの多くは,100Pa程度以下に減圧させ,高周波やマイクロ波を印加させて生成される. 

 では,プラズマを生じたガスの中で何か起こるのであろうか.一例としてテトラフルオロカーボン(CF4)を原料ガスとしてプラズマ放電をおこした場合を挙げてみる.気相での電子とその分子との衝突により生じる反応には1)電子衝突解離,2)電子衝突電離,3)電子衝突励起,4)電子付着解離などがある [3].

表1 プラズマ中の電子衝突反応の例

-CF4+e-	→	CF3*+F+e-	電子衝突解離
-CF4+e-	→	CF3++F+2e-	電子衝突解離性イオン化
-CF4+e-	→	CF4*+e-	電子衝突励起
-CF4+e-	→	CF3*+F-	電子付着解離

さらに解離生成した分子ラジカルなどが逐次反応を生じていく.比較的安定なフッ素原子(F)を生成することができる.

 このFがガス流れや拡散によって被加工物となるシリコン表面に到達すると,

Si+4 F→SiF4 (or SiF 2 )↑ (1)

といった表面反応を生じてフッ化シリコン(SiF2やSiF4)を生成する.これらSiFは揮発性であるため,表面から脱離することで表面のSi原子が排気されるエッチングが進行する.すなわち,エッチングの表面反応は,1)エッチャントの入射,2)表面への付着,3)表面反応による脱離種の生成,4)脱離(排気)という過程を少なくとも経る.これらを総括的にみても いずれかの反応過程に律速されるので,あたかもある活性化エネルギー(ΔE)をもつエッチング反応式として現象論的に

ER (nm/min) = ER0 exp(-ΔE/kT)	(2)

のように定式化することができることが多い [3].ここで,ER0は定数,kはボルツマン定数,Tは反応系の温度(単位K)である.この例でエッチング反応は,脱離種としてのSiF4の生成エンタルピーが-1614.9kJ/molとなっており,前述の式1で挙げた表面反応の化学式の右辺が熱平衡状態で安定となる.また,このSiF4といった反応生成物の脱離が重要であり,シリコンの化合物の沸点は表2に挙げる通りである [4].SiF4は標準状態で気体であることからも,生成されれば自発的にエッチングが進む系であることがわかる.

表2 Si化合物の沸点と融点の例
-SiH4	-112	-185
-Si2H6	-14	-132
-SiF4	-86	-90
-SiCl4	58	-69
-SiBr4	154	5.4
-SiI4	288	121

 一方,有機レジスト材料のエッチングにおいても,有機材料に対して酸素や水素はもちろんのことフッ素はエッチャントとして働くけれども,シリコンのエッチングよりも遅いので,通常レジストマスクとして働く.有機高分子の典型的な場合,高分子上の水素が引き抜かれ,それで生成するラジカルが自動酸化されることで,脱離種となりうる分子にまで化学結合が切断,組み換えられることが生じる.例えばCH4から,フッ素がHを引き抜きHF生成する活性化エネルギーは水素や他の有機ラジカルに比べ比較的低いことが知られているけれども,脂肪族炭素のC-Cや芳香族炭素のC=Cのそれは低くないため,反応系の温度が室温レベルであれば有機レジスト材料のエッチングは低く保たれている.ただし,酸素が共存する場合にはフッ素による活性化エネルギーの低下によりエッチングの促進もみられる.けれども,室温では主にレジストのフッ化が生じるだけである.言い換えれば,反応系の温度が上がることが有機材料のエッチング反応を極めて生じやすくなる.このことからもプラズマ処理中のウェハ温度は制御されるように静電的にステージに吸着(静電チャック)させて熱を抜くことが欠かせない.

 上述の通り,エッチング反応式を熱力学的平衡に反応生成物に偏らせるためにも,電気陰性度の高いハロゲン元素をエッチャントして安定な化合物形成が期待して利用する.エッチングプラズマを生成してガスから化学活性なエッチャント生成し,それを表面に輸送し,表面反応を生じて,揮発性の脱離物を生成し,気相に反応生成物を輸送して排気するという過程を経てなされる. 

**2.プラズマエッチング [#qe5798b2]

 典型的なエッチャーでは,プラズマ源として平行平板電極に高周波電力を印加し,この高周波電極に被加工材料を置き,容量結合プラズマをもちいる構成となっている [5].放電が開始すると電極直上にはイオンシースが形成される.実際には容器全体が接地されていることから,高周波電極の面積は接地電極に比べ小さく,また電気回路的にみるとシースは一種のダイオード・コンデンサと見なせるため,高周波電極側に時間平均して負の電圧となる自己バイアスを生じることとなる.この結果,面積の小さい高周波印加(カソード)電極には負のバイアスを生じるのでプラズマからの正イオンは加速されて表面に入射して衝突することになる.このイオンシースは表面に沿って形成され,この電界の法線方向に正イオンは加速されるため,表面に垂直に入射する.

 次に,イオンのエネルギーが決定される機構を説明する [6].高周波の電界の時間変化まで細かく見ていくと,高周波が電極に印加されているので,プラズマ端からシースに入り込んだ正イオンはシースを通過する間に,自己バイアスを基準とした高周波電界の下で加速されて表面に到達すると単純化される.この正イオンのシース通過時間が十分短ければ,印加高周波の周期に応じて加速されているので,深くバイアスされた時とほぼ接地バイアス程度の時を繰り返しており周期にわたってこれらの統計をとったイオンエネルギー分布と考えることができる.一方,シース通過時間が十分長ければ,結局時間平均の電界,自己バイアス程度のエネルギーで加速されるだけになる.ある温度にある正イオンの熱運動速度の変化は無視できる.例えば,質量数(M)が40であるArは300Kではマックスウェル分布を仮定して平均熱速度は√8kT/(πM)であるから約400m/sである.本題のシース通過時間に関する目安は,ほぼ高周波の周波数とシース厚で決まってしまう.高周波バイアスが1MHzであるとすれば,1周期は1μsであるから,シース厚は0.4mm程度以上であれば自己バイアス程度のエネルギーである.プラズマ密度にして1011cm-3程度ではイオンシース厚がmm以下となるために数MHzのバイアスを掛けた場合には,接地レベル(プラズマポテンシャル程度)とバイアス振幅値とに二値分布したイオンエネルギー分布となる.このように高密度なプラズマで比較的周波数の低いバイアスが印加される電極面でのイオンエネルギーの統計分布は,自己バイアスの2倍程度のエネルギーをもった分布とすることができる.

 前記したような1周波平行平板の典型的なエッチャーでは印加する高周波電力を変化させると,同時にイオンのフラックスとイオンの衝撃エネルギーが同時に変化してしまう.そこで,プラズマ励起放電用とイオンエネルギー制御のバイアス用に二周波以上の電力を同時に印加させる装置が,現在では多く用いられる.

 このようにイオンシースの生成と自己バイアスによってイオンが表面に垂直に衝突するために,マスクの影ではイオン衝突がなく,イオン照射される部位での表面反応が顕著に促進され,断面を見て異方性形状を得ることが可能となった.実際イオン入射の角度分布やマスク端の角などにあたって反跳した粒子によって加工形状がことも考慮される. 

 実際のプロセスについても挙げておく[7].シリコン半導体のゲート電極などには多結晶(ポリ)シリコンが使われている.このシリコン加工にはCF4などのFを含んだガスを使っていた.前述したようにフッ素によるシリコンは自発的なエッチングが無視できないために,その回避策として塩素や臭素を含んだガスを使用することが多くなった.例えば塩素の反応生成物の沸点は比較的高いために,イオン照射される(イオンアシスト)反応でしか脱離されないために,マスクした部分の側壁にはイオンがあたらないためエッチングから保護される.これを側壁保護効果と呼び,より積極的に酸素を微量添加して酸化物の形で保護することにより,形状制御される異方性エッチングが実現されている.数分で数μmの深さをエッチングするシリコンの深掘り用途には,SF6やSF6/O2がもちいられる.フッ素源にはXeF2ガスもあるが,主に等方性エッチングにもちいられる.

 化合物半導体のエッチング[8]も同様であるが,例えばⅢ族-Ⅴ族との化合物(GaAsなど)ではⅢ族元素が残りやすい(揮発しにくい)ため.その揮発性を高めることが必要となることが多い.GaAsやGaNのエッチングには塩素系ガスのCl2,BCl3/N2,SiCl4/Cl2などが使われる.フッ素系ではGaフッ化物の揮発性が低いので望ましくない.他にも,CCl2F2やCCl4がもちいられていたがオゾン層破壊から規制対象となり最近では使われていない.ここで,BCl3やSiCl4を添加する理由は酸化物の還元剤としてであり,生成物のマイクロマスクを除去して所望のエッチング形状を得るために添加される. InPでは塩素系や炭化水素系が使われる.InClの脱離を促進するために,150℃以上の高温エッチングにすることがある.炭化水素系のCH4/H2やAr/O2では腐食を防ぐことができる一方で,エッチレートが低く表面荒れが問題になることもある.ほかにもAl含有層であるAlGaN,AlGaAs,InAlAsなどを使ってGaNやGaAsのエッチング停止を目的でフッ素を添加したSiCl4/SF6やBCl3/CHF3,BCl3/SF6などが使われ,AlFx層によって選択的に停止できる.他にもAl酸化物を形成してエッチレートを抑制するために酸素添加やCH4/H2/O2系も使われる.

 金属酸化物,High-kのシリケートやアルミネートも含めて,HfやZrなどでは塩素系のBCl3/Cl2やCl2/Arなどにイオン照射を併用してエッチングされる [9].特にBCl3の効果は,BやBClによりBOClや(BOCl)3によって酸化物の除去がなされ,マイクロマスクなどとなる酸化物がなく平坦な加工面を用意できる.エッチング後にBが残りやすいが水素処理で容易に除去されることが知られている.

 シリコン酸化膜のエッチングにはフルオロカーボンガスが使用される [10].炭素による酸素,フッ素によるシリコンの反応によりいずれも揮発性反応生成物となるCO,CO2,SiF4などを生成しエッチングを実現する.ただし,Si-O結合の強さからシリコンのように自発的にエッチングが進みにくく,イオン衝撃を使った結合切断が効率的に生じなければ反応が進行しない.そのため,バイアスを強く印加したエッチングが必要である.用途にはシリコン酸化絶縁層として下地に導通をえるためのコンタクトホール(ビア)のエッチングがあり,下地のSiや窒化膜SiNが露出したところでダメージを与えずにエッチング停止させるため,フルオロカーボンの重合膜が酸素の少ない材料面で自己整合的に厚く堆積する条件にすることで,SiO2の選択エッチングが達成できる.しかしフッ素が多く生成されているとシリコンのエッチングが進行するため,これまでにはフッ素原子の捕捉にCOを添加してCOF2や,H2によるHFの形でなされてきた.最近ではC3F7IやCF3Iなどの沃素含むガスが使われて沃素によってハロゲンの沃化,特にハロゲンの負イオンでのハロゲン交換作用によるフッ素と沃素の化合物形成によってフッ素減少が期待できる.沃素ではIF,IF3,IF5,IF7 が形成され,フッ素の捕捉効果が大きい [11].シリコン酸化膜では,c-C4F8,c-C5F8,C4F6などが,ガラスエッチングでは具体的にCF4/C3F8などのフルオロカーボンが使われている. 

 配線などに使われるアルミのエッチングには,フッ素との化合物が不揮発であるために塩素系ガスがもちいられる.アルミに対して塩素は等方的な反応を起こすために,側壁保護効果をもたせる必要があり,BCl3やCCl4が使われる.反応生成物のAlCl3は空気中の水分と反応して塩酸を生成してしまうので腐食を防止するために,真空一貫搬送して酸素プラズマ処理を施して除去する必要がある.他にも温純水やアルカリで後処理により中和除去する.また,レジストの残渣にもエッチしたAlや添加物のClが含まれるために,酸素アッシングだけでは除去困難なベイルというレジスト側壁残渣ができることが多く,これは薬液を使った後処理で除去される.

 金属のCrマスクのエッチングにはCl2/O2が使われ,CrO2Cl2の蒸気圧は高いが,側壁保護膜ができないので後退が問題になる時がある.WSiやTiN,TaではCF4やCl2のガス系でエッチングされる.他にもITO(SnO2),ZnO,AlZnO,InZnOにはC2H2Cl3やHI,BCl3,CH4/Cl2,HBrなどが使用される. LiNbO3,PZT(PbZrTiO3),PtやCoFe, NiFeと反応生成物の揮発性が低下していくため,低圧にして物理的なスパッタ作用で加工がなされている. 

 エッチングマスクとして使用後のレジストは不要なため除去される.この工程はよくアッシング(灰化処理)と呼ばれる.高ドーズにイオン注入されたレジストは,注入領域は激しく炭化されウェットでは剥離が困難になる.この理由はレジストのC=Oなどが減少し,ラマン分光よりアモルファスカーボン由来のピークが観察されることなどから,炭化を生じてしまっているからである.そのためにもプラズマをもちいた200℃程度のアッシング工程が施される.


**3. ウェットエッチング [#u6e27f65]

 ウェットエッチングではプラズマによるイオン衝撃などの物理的な作用を伴わずにエッチングすることが可能なために化学選択的に加工することが可能である[12].エッチング残渣の除去などウェットエッチングのメカニズムは,除去物質を薬液への溶解,分散といった方法でなされる.有機材料を化学反応として水と炭酸ガスにまで分解したり,水溶性のカルボキシル(-COOH)基を形成させて分散したり,このとき再度表面に付着させないようにされる.水溶液中への物質(粒子)の分散は,コロイド化学よりDLVO理論などで理解され,水溶液にはpHで表されるように水素イオン(プロトン)の存在から,粒子の周りの帯電状態といった電気化学的な説明がされうる [12].この指標として除去物質の粒子の帯電はゼータ電位として表され,このゼータ電位による斥力がファンデルワールス力などから生じる引力に増せば,粒子の凝集・付着を阻害して液中に分散させることができる.ここで,表面に発生するゼータ電位は溶液の電気化学の考えによって,pHや酸化還元電位を変化させたり,アニオンやカチオン,非イオン性の界面活性剤を導入したりして,斥力を制御することが可能であり,付着を抑えたウェットエッチングとすることができる.

**レジスト材料と耐エッチング性 [#m0e0c6bf]

 レジスト材料には,主にレジン,感光基,溶剤が含まれている [13].ネガ型とポジ型があり感光した部分の現像液への可溶性が異なる.つまり,ポジ型では感光部分が現像によって溶解しマスク開口され,ネガ型は逆である.パターンの解像度は波長に依存するため,紫外線が使われてきた.水銀ランプのg線(428nm)やi線(365nm)を使った露光では,分子量が1000~10000程度のノボラック樹脂が使われている.感光基としてジアゾナフトキノン(DNQ)の誘導体が添加され,C=N官能基が感光し,Nを脱離してケテンとなり,水分によってカルボン酸を構成して,アルカリ可溶成分を構成する.

 ネガ型では感光により架橋して溶解度を下げており,アリルビスジアドなどを感光基として導入して,ナイトレンに分解させて窒素を脱離させることで,架橋や重合を進行させた上で,芳香族のレジンをキシレンやn-ブチルアセテートで溶解する.

 これより短波長ではエキシマレーザーの以下の

-Kr + F2* → KrF + 248nm
-Ar + F2* → ArF + 193nm
-F2* → F2 + 157nm

波長をもちいるようになり,この世代では化学増幅レジストが使われている.酸発生剤(PAG)としてトリフェニルスルフォニウムトリフルオロメタンスルフォン酸などを添加して,感光部に酸発生をしてから,引き続くベークにより,この酸をレジンと反応させることで可溶性の酸に変化させる.共役C=Cの紫外線吸収が強すぎるために,これらの官能基を含まないラクトンやアダマンタンから構成されることが多くなってきた.KrFではポリヒドロキシスチレン(PHS,PHOST),ポリ(t-ブトキシカルボニルオキシスチレン)(t-BOC),ポリビニールフェノール系,ArFではポリアクリル酸などが含まれている.これらの役割には,基板への密着基や耐エッチングの分子基があり,必ずしも露光に係わる官能基だけではない.例えばヒドロキシスチレンは密着性基としても働き,メタクリル酸ではアルカリ酸が高溶解度なために耐性を上げるδ-バレロラクトン,γ-ブチロラクトン,メバロニックラクトンなどのラクトン環が導入されることも多い.F2レーザー用では,フッ素含有ポリマーの使用が提案されていたりする.

 さらにX線領域のEUV(13.5nm)では,原子そのものが吸収する波長であるため,レジストは材料によらずポリマーの主鎖切断機構が使われる.電子線ではポリメチルメタクリレート(PMMA)が使われることが多い.これには,メチルイソブチルケトン(MIBK)とイソプロピルアルコール(IPA)を現像剤として使う.ほかにもポリブテンスルフォン(PBS),さらによく使われるものにポリ(αクロロアクリレート-メチル-αメチルスチレン)共重合体(ZEP)がある.

 レジストの種類は多岐にわたり,分子構造の多量体(繰り返し構造)となっている.この重合度の指標は分子量で表される.世代によって異なるが,サイズを考えれば将来に微細寸法を実現するにはその構成分子は数十分子となるため分子サイズのレジストを構成する提案もある.他にも,シリコン含有レジストがあり,O2ドライ現像によりシリコン酸化物に変化させて使用する.ではこのような分子から構成される表面とプラズマの相互作用を考えてみる.

 プラズマを発生させるガスにはフルオロカーボン,塩素,臭素などが使われており,酸素ベースのプラズマの下流で200℃程度に加温して行われるアッシング処理なども行われる.プラズマにはイオン,電子,ラジカルおよび発光を生じるため,それらがレジスト表面に曝されている.このとき,レジスト材料が収縮したりすることによって内部の局所的な歪みは表面自由エネルギーを解放するように表面形態を変化させる.主鎖切断による歪み緩和と重合(クロスリンキング)の収縮が生じている.

 エッチング耐性については,はじめレジスト材料中の炭素数に応じて上がること,さらに共役二重結合(芳香族系)材料は脂肪族系材料に比べてエッチング耐性が高いことが示されてきた [14].必ずしもガラス転移温度と相関はない.これらの知見を元にレジストのエッチ耐性の因子として大西パラメータと呼ばれる全原子数Nに対する炭素原子数Cから酸素原子数Oの差の比率が高いほど耐性が上がることと解釈されている.これは大西モデルとも呼ばれ,主鎖切断因子(G scission value)に応じてエッチレートが高くなり,全分子量に対する芳香族系炭素数が増えることでエッチレートが下がると説明される.このことは芳香族系では炭素の結合を複数切断しなければ脱離種を生成できないことが理由として考えられている.このように大西モデルによって,エッチング耐性を定性的に説明できる点はあるが,プラズマエッチングの詳細を見ていけば,必ずしもそれほど単純ではない結果が多く得られる.例えば,フルオロカーボンプラズマの場合には,表面にフルオロカーボン膜が堆積するために,必ずしもレジストの材料に依存したエッチレートとなるわけではない.そのため,レジスト材料を考慮に入れて,プラズマからの入射する粒子に関する情報も加味しなければならない.前述の分子構造と関連してプラズマの粒子と相互作用については,正に現在の研究段階であり,今後の体系化が必要とされているところである.


*第2節 エッチング工程の最適化を目指した要点と対策 [#c10a6184]
**1. 抜け不良の対策 [#h24bd514]

 ここでは例としてフルオロカーボンプラズマをもちいて高アスペクト比でコンタクトホールをエッチング工程を挙げて,抜け不良,バラツキについて概説する.コンタクトホールのエッチングのように絶縁膜をエッチングして下層にコンタクトするビア(縦穴)を作製するプロセスである.このとき,ビアが確実に貫通して,その後のプロセスで膜を埋め込めやすい形状をつくる必要がある.には,フルオロカーボンガスを希ガスであるArで大希釈して,酸素を微量添加するガス系がもちいられることが多い.エッチング反応は,入射種の入射と反応サイトの生成,反応生成物の生成と脱離という過程が必要となり,エッチャントとなる粒子が入射するとSi-O結合が切断され,FがSiと結合することによりSi-Fからなる反応生成物が表面から揮発して排気されることでエッチングが進行すると説明してきた.このことは,単純にはイオンのフラックスとエネルギーが反応サイトの生成に関係し,ラジカルの組成とフラックスが脱離物生成に関与しており,これらを制御することが必要である.この概略は図1の気相制御や図2のような表面反応として理解できる.

図1 エッチング入射種の制御 [10]

図2 表面反応のモデル化例 [10]

 イオンの与える影響は大きく,基板に印加するバイアスによって加速されるイオンが入射して脱離種を形成する純粋物理スパッタでは,入射イオン10に対してあたり数個の脱離種しか生成されない.(1kVのイオンエネルギーにしてもエッチ収率として0.3程度しか得られない.)一方,反応性のイオンとしてCFx(x=1-3)を照射した化学スパッタでは図3に示すように,入射イオンに対してエッチ収率は1以上で脱離種を生成することが可能となる [16].よりフッ素の多いフルオロカーボン分子イオンを用意できればエッチ収率が上がり,効率的なエッチングが可能である. 

図3 質量分離されたフルオロカーボンイオンのSiO2エッチングイールド [16]

 ただし,フッ素原子の生成はエッチ選択比を考える上で課題であり, Ar大希釈のひとつの効果はフルオロカーボンガスの解離度を抑えてFの生成を減らすことにある.プラズマ中にガスが滞在し続ける系では多段階解離によって,分子の形を留めずカーボンとフッ素にまで分解してしまう.これを抑えるためには,ガス流量を増やしてプラズマ領域への滞在時間を短くすることで,多段階解離を抑制し,フッ素原子の生成量を下げられる.実際,この範囲では微量添加されるフルオロカーボンガスの導入量の増加に応じて,シリコン酸化膜のエッチ速度は増加していく [10].

図4 プラズマ中での分子の解離に与える滞在時間の影響[10]

 一方,フルオロカーボンガス量が多くなり過ぎる場合には,フルオロカーボンイオンとラジカルが表面に入射するフラックスは,脱離種の生成に寄与するよりも過剰になるため,表面にフルオロカーボン層が比較的厚く形成され,この層を除去しないと被加工物がエッチングされなくなる.この層の影響は,入射するイオンがこの堆積層除去に浪費されてしまうことになる.そのため,古くからこのフルオロカーボン層の厚さに対して,エッチレートは逆比例するように振る舞うことが知られている [10].このカーボン層の除去を期待して,酸素や窒素を添加する.しかし不必要にカーボンと酸素を増やすと対レジスト選択比の低下など不具合が生じる結果を招くので注意は必要である.

図5 フルオロカーボン分圧に対するエッチングレート変化の典型例 [10]

 エッチレートをみると入射粒子の組成に依存するものの総括的な元素組成のバランスで決定されているとみることができる.典型的なC4F8/Ar/O2ガスのイオン組成やラジカル組成は測定によって調べられており,一つの考え方として,SiO2+Cn+Fm⇒SiFm+CnO2といった元素バランスで大まかには決まってしまう.この単純化は理解しやすく,少なくとも表面反応に寄与するラジカル量として気相からの入射フラックスに着目していく.表面への流束と気相への流束の総和である,これはミルン(Milne)境界条件と呼ばれ,表面付着係数を使って,これらが0.1~0.5ぐらいと仮定して見積もると良く説明できることが知られている.また,この付着係数はイオンエネルギーの依存性をもち,エネルギーが下がるほど低くなると考える.ここで,この値はあくまでもエッチレートを説明するイオン照射下での付着係数(反応確率)であり,大半のイオン組成はAr+であり,このイオン照射される表面では,フルオロカーボンラジカルの付着が相当促進されていると考えられている [10].また,数は多くないがエッチイールドの閾値よりも低いエネルギーをもつフルオロカーボンイオンも照射されており,過剰な炭素の入射に加え,カーボンのフッ化物は,シリコンのものに比較して,表面に堆積しやすい性質をもつことからフルオロカーボン膜の堆積が見られる.これは炭素を多く含むレジスト面でも同様であり,この効果により表面がフッ化・堆積されることでエッチングに耐えている.

 フルオロカーボンガスの分圧を上げていくと,入射するカーボンが増し表面から脱離するカーボンが少なくなるため,炭化やフルオロカーボン膜の堆積が顕著になってしまい,堆積膜による入射イオンのエネルギーがシリコンの脱離物生成を抑制するようになる.物理スパッタから化学スパッタがなされエッチイールドの増加がもたらされる以上に,フロオロカーボン膜(層)の除去に多くのエネルギーが分配されてしまいエッチング速度は低下してしまう.これはフルオロカーボン層の下に位置するシリコンの脱離物形成がフッ素と脱離物の表面への表面からの拡散を余計に必要とするとも考えられる.

 結局,入射粒子と脱離粒子との総括バランスがエッチレートを決めると仮定は,かなりの範囲で説明できる.指数関数的に変化を生じるイオンエネルギー依存性やフルオロカーボン膜厚,数値に比例するフラックスを考慮に入れれば,SiO2をエッチングするにはイオンの入射エネルギーとフラックスに依存する付着確率増加を加味した入射カーボン量と入射フッ素,入射酸素量に対して,SiO2のエッチングにはシリコン出射と酸素出射の両方の量で炭素,フッ素の総量が決まるために,エッチングで酸素発生の起きないシリコンでは入射炭素が表面に堆積することが優勢になる.このようなSiO2上では自身のエッチングで発生する酸素によってカーボン堆積が抑制される,曰くSiO2からみれば酸素の脱離を促進する形でエッチレートが上昇する.
 表面に付着性の高いフルオロカーボンガスをもちいることで,フッ素の表面供給は効率的になり,プラズマ中の多段解離によるフッ素の発生が少ないほど,レジストの減少は抑えられ,SiO2加工形状は良好になる.一方で,高アスペクト比ホールでは特に,イオン照射の少ない加工面側壁で堆積過剰となることを避けるために,酸素や窒素を微量添加してイオン照射面となる加工底面とイオン照射の少ない側壁との間のカーボン除去レートを合わせる必要がある.また,フルオロカーボンガスが過剰な場合,イオンによって入射する過剰なカーボンを無駄に除去することとなっている.したがって,イオンが膜の除去や堆積を決めているとみなせる状態であるため,表面状態に依存してエッチングと堆積の状態が変化する不安定なプロセスとなる.

 他にも,間口の狭い高アスペクト比コンタクトホールでは,ラジカルがホール内を輸送する過程に側壁に付着していけば底面に到達する量が減る(マイクロローディング効果とも呼ばれる)から,開口サイズ(アスペクト比)に依存した(ARDE)エッチングになる. 
 
図7 アスペクト比依存エッチングになった場合の例 [18]

 イオンのフラックス減少や軌道変化は底面の帯電にも依存する.幅広開口パターンの近傍など,チャージングによってホールの形状異常が発生するため,荷電粒子の取り扱いは難しい.例えば,最近では対向電極のプラズマ励起の高周波電力に負の直流バイアスを重畳してやることで,電極前のシース構造を変化させる方法が提案されている.負の直流バイアスによって,より正イオンがエネルギー加速されて対向電極表面に衝突するので,二次電子の発生がエネルギー上昇分だけ多くなり,ブラズマ側に加速し戻されて基板側のシースを通過して表面に照射されると考えられている [19].このような二次電子発生と基板表面への照射のモデルは必ずしも受け入れられたものではないが,現実にチャージング由来と思われる形状異常などが改善すると知られている.

 窒化膜やシリコンカーボン膜など,別の組成の膜についても,総括の組成バランスを考えることで0次近似の説明は可能である.窒化膜ではエッチングにより窒素が放出され,この窒素により炭素が除去される効果がある.しかしながら,その効果は酸素より若干劣る.このため,バランス点が酸化膜とは崩れるために,酸化膜を窒化膜に対して選択的に削れるガス導入領域がある.また,水素を含有するガスをもちいると窒化膜の窒素が水素により脱離を促進し,酸化膜の酸素では水素による促進効果が得られない.

**2. エッチャントの影響 [#q05adc25]

 フルオロカーボンプラズマによるエッチング特性の制御・抜け対策において,表面への入射イオン・ラジカルといったエッチャントの表面反応確率に基づいた総括バランスが重要であることは前述の通りである.すなわちイオンやラジカルによるエッチャント供給が,エッチングの特性を大きく決めるメカニズムについて説明し,電子衝突によって必要な活性種を生成し,かつ不要な活性種は生成しにくくなるようなガス分子が望ましい.エッチャー内でガスがプラズマ領域を流れてチャンバー内に滞在する時間はガス総流量に逆比例する.滞在時間が長くなると,プラズマ中での電子衝突の頻度が高くなり,フルオロカーボンガスの場合にはフッ素の生成割合が増えることとなる.フッ素の増加は選択比の点でエッチング特性を劣化させるので,歴史的にはそれを防ぐようなガス選択がなされてきた.ここで,イオンはプラズマ内で電子との衝突生成と再結合によって総量が決まってくるが,その決定因子は電磁気な相互作用が強いために,ガス全体の密度に対して数%となりプラズマ密度に比例していると考えてよい.(ただし,ハロゲンなどでは負イオンを生成するので,低エネルギーの電子数が失われたり,電子密度を下げてしまうことは考慮に入れる必要がある.)とはいえ単純には,電気中性な活性種(ラジカル)はプラズマ中で多段階に渡る電子衝突によって生成されるので,チャンバー内の滞在時間と多段階解離過程を把握する必要がある.この観点から,例えばCF4では解離過程で直接フッ素を発生し,C-C結合を切断する余裕もないことから比較的低い電子密度のプラズマでは使いやすい.エッチャントを生成しやすく,これに酸素や水素を添加して,Fを発生させたり,HFでスカベンジさせたりしてきた.CF4などの炭素数の比較的少ないフルオロカーボンガスについては文献が多く見つけられる.炭素数が多くなると文献も少なく どのような化学種が生成するかといったことは知るのは難しい.比較的電子密度が高くなると,ガス分子を完全に解離してしまうため,C2F6といった炭素の比率を上げ,炭素数も増やすガスが使われる.炭素比率を上げることは表面に堆積するフルオロカーボン膜を多くする効果も併せもつ.そのためプロセス制御は難しくなるが,高アスペクト比で高速にエッチングするには有効な手段であり,さらに,環状C4F8などが使われ,環状構造であることから,より小さな分子に解離されにくくなり,カーボン4員環のフッ素原子は解離されにくい構造ともいえる.他にも,最近ではC5F8がやC4F6 ,C5HF7などとカーボン比率が高いガスも使われ,現在も最適なガスの探索は続けられている. 

 以上の通り,プラズマ中のガス分子の化学には,様々な反応過程があることも示したが,イオン化と解離は重要な過程である.そこで一つの解決策には量子化学計算を活用したアプローチがある [20].例えばc-C4F8について,その解離を調べた結果,このガスの解離性の励起状態は基底状態から10.6eV程度に位置する.これは,C4F8を二つのC2F4に分断する.断面積が小さくなるが,8.32, 11.3, 11.5eVにもC2F4の生成パスがあることがわかってきている.C2F4は単ガスでは反応性がシラン並みに高いために,防爆剤を添加しなければならず,純度を高くすることができないが,c-C4F8では安全に炭素比率の高いフルオロカーボンガスを提供できる.次に,C2F4の解離パスを検討すると,3eV程度に電子付着によりCF2-とCF2に開裂する.これは主要な反応経路でしかないが,CF2はCF+の主要なソースであることからCリッチな堆積性の高い活性種を供給するので高選択なSiO2エッチングを可能とするのに不可欠であることが示された. 

図8 C4F8解離過程の量子化学計算結果の例 [20]

**3. エッチング幅のバラツキ対策 [#ia74f938]

 これまで述べてきたようにエッチングの目的はレジストにリソグラフィック的に形成されたパターンの下地への転写に他ならない.しかしながら,昨今では露光波長の短波長化にしたがいレジスト材料の脆弱性が問題となっており,プラズマプロセス中のレジスト変形を如何に抑えるかは課題である.すなわち,リソグラフィー形成後のレジスト変形はパターン寸法にバラツキを与えてしまう要因となる.

 プラズマプロセスでは,窓を隔てることなくプラズマ発光が照射されるので,真空紫外域に及ぶ高エネルギーなフォトンにも曝されてしまう.ArFレジストではエッチング耐性をもたせるためにラクトン基が導入されたりしているが,この官能基はプラズマ光によって分解してしまうことがわかっている.そのため,別の分子構造を導入したりされているが,ラクトン基などのC=OやC-O-Cが脱離していくとレジストの粘度が下がり,ガラス転移が下がることが一般的に生じる.そのため,レジストの変性は,この粘性が下がることにより表面平滑になると考えられている [21].ここにイオン照射が入ると,フルオロカーボンプラズマ中ではフルオロカーボン膜が覆っているが,これがイオン照射によって部分剥がれることで,表面の層に変化が加わり,凹凸が増してくるという報告がなされている.この効果はイオンエネルギーが上がるほど,またウェハ温度があがるほど顕著になる [22].これはイオンのエネルギーが高い方が,一度の照射によって変化を及ぼす領域が大きくなることや,温度が上がることでフルオロカーボン膜の堆積が少なくなる.

 次にイオン照射は,酸素と水素の脱離を促して表面の炭素比率を上げる結果をもたらす.化学的に作用しない希ガスイオンを照射した場合に特に表面伝導率が上がることからグラファイト化が進行すると言われている.XPSで分析してもsp3-からsp2-結合のCピークが顕著に見られる [23].このような現象は多くの有機物で見られており,イオン衝撃によってボンド切断を生じた場合に水素が優勢に脱離するからであると解釈される.賛否はあるようであるが,炭素含有イオンが照射した場合の方が炭素の入射によりグラファイト化の進行が早まるとの報告もある.一方で水素の脱離が優勢にはならず,グラファイト化に到るとは限らないという考えもある.また,最近ではHBrプラズマにレジストを曝すと,Brに由来する輝線(157.5, 163.3nm)の真空紫外線の照射によってグラファイトがすることで,レジストの硬化がもたらせるという報告もある [24].COSとdc重畳したCCP装置でもキュアした場合に,表面に硫黄Sが導入されるという方法も報告されている [25].紫外線キュアとしてエキシマ(Xe*)の172nm光を照射した方法が行われている.すなわち,イオンや光の照射は結局ボンドを切断していき表面変化を与える結果を述べてきたが,ボンド切断された活性な部位がクロスリンク(重合)していくという解釈もある.この場合,クロスリンク層がレジスト硬化に働く場合と,重合による密度変化によりレジストにストレスが生じて,膜の表面では自由エネルギーを下げるように凹凸を発生させる [21].

 他にも気相中のフッ素濃度が上がるほど凹凸が増すことも知られている.これは,レジストがフッ素によりエッチングされることで密度低下を生じ,ストレス開放による凹凸形成が考えられている.前述の通り,フッ素のスカベンジがなされる他のハロゲン臭素や沃素を付加したガスの使用は効果的に働くことが多い.一方で,これらハロゲンが直接レジストに作用してレジストのエッチングを防ぐという考えもある.
 結局,イオンと電気中性な活性種,プラズマ発光と様々な影響が働いている.現在,これらの影響の大小を調べられていて結論が出ていないところである.しかしながら,評価方法としては1)活性種を個別にビーム状で照射した場合の試料を解析していく方法と,2)実プラズマに遮光板と透過窓を配置したパレット評価(PAPE)が一般的になってきている [26].このPAPEと呼ばれる方法では,遮光板によってプラズマ光とイオンを除害して空隙を拡散してくるラジカルの影響を調べたり,透過窓を配置してプラズマ光だけの影響,またこの窓を 空隙を配して置くことでプラズマ光とラジカルの影響を観察することが可能となった.

図9 プラズマと表面の相互作用について遮光板と透過窓を使いパレット評価(PAPE)

 ビームを使った場合には,プラズマからイオンのエネルギーを単一に固定して,光やラジカル量の比率を制御して表面反応を解析することができる.調べてみると,イオンの照射量に応じて表面の変化が見られることがわかっている.図10~12にはArFレジストにフルオロカーボンのイオンを照射していくと,はじめ酸素含有の官能基が消失する.これは赤外分光で観察して,量子化学計算による振動モードとの対応からメタクリレートに由来する結合の消失と解析される(~2e15 /cm2).その後,フッ化と同時にグラファイト化した表面を生じる(~4e15 /cm2).その後に平坦性を保っていた表面に顕著な凹凸を形成する(~6e15/cm2).というように,観察の一例から時間発展をもってレジスト表面が刻一刻と変化して凹凸を形成していく様子がうかがえる [23].では,凹凸形成の原因には,フルオロカーボン膜が堆積した表面にイオン照射を生じて,部分的にフルオロカーボン層が剥離されて凹凸を形成していくという解釈がある.また他にも,フルオロカーボン層が形成されつつ,グラファイト化を伴って凹凸を生じてくることからレジスト自体の変性が局所的なエッチイールドの変化を生じた結果とも考えられる.とはいえ,決定的な凹凸形成メカニズムの説明に至ったわけではなく,今後解明がなされるべき点である.


図10 ArFレジストにフルオロカーボンプラズマのプラズマビームを照射した時の赤外分光評価結果と,量子化学計算による振動モード [23]

図11 ArFレジストにフルオロカーボンプラズマのプラズマビームを照射した場合,上から未照射,2e15, 4e15 照射後 [23]

図12 ArFレジストにフルオロカーボンプラズマのプラズマビームを照射した時の凹凸形状観察結果 [23]

 イオンは照射エネルギーによって侵入深さが変化するか,概して数100eV~数keVレベルのエッチングで与えられるエネルギーでは数nmにしかならない.ラジカルでは拡散を抜きにすれば,作用するのは表面への吸着しかない.一方,光は吸収の断面積が少ないことが普通であり,材料の吸収係数に逆比例して侵入深さは大きくなる.吸収した領域が作用する領域であるとなり,作用する場合には表面近傍に変性箇所は位置するが比較的深くに分布することになる.

 現在のところ,レジストの荒れを対策するのに有効な手段には,前述の通り1)レジストをキュアしてラフネス発生を防ぐこと,2)プラズマプロセスの条件,使用ガス種,イオン/ラジカル比,イオンエネルギーなどをプラズマ光,ラジカル,イオンといった入射種が与える影響を踏まえてプロセス設計することになる.


*まとめ [#sc8ff6bf]

 プラズマエッチング中のプラズマと固体表面との相互作用が明らかになれば,プラズマプロセスの深化とその応用の深化に長足な進展が期待される.さらに,全産業を根底から支えているプラズマプロセスの発展は,あらゆる産業のボトムアップに繋がっている.

 プラズマプロセスの過去35年の歴史において,固体表面に入射するイオンやラジカルの定量な計測が可能になってきた.特に,ラジカルについては,名古屋大学を中心とした先駆的な研究によって,ラジカル密度の時空間の挙動が把握できるようになってきている.次世代のプラズマエッチングプロセスの開発には,ラジカルを含めた全粒子のフラックスを基に,表面反応に大きな影響を与えている粒子の特定とその反応過程の理解をすることが必要不可欠である.そのために我々は,長年に亘って表面反応をin-situで計測できる装置を製作し,大気暴露によって変質することなくプラズマが与えた表面を実測することで,先進プラズマナノ科学を構築することを推進している.

*参考文献 [#v9accb3b]

-[1] プラズマエッチングについてのレビューは,例えば
--H. Abe, et al., Jpn. J. Appl. Phys. 47, 1435 (2008). 
--R. G. Poulsen, J. Vac. Sci. Technol. 14, 266 (1977).
-[2] プラズマの生成については,例えば
--チェン「プラズマ物理入門」(丸善, 1996)
-[3] プラズマ気相中の反応については,例えば
--G. I. Font, et al., J. Appl. Phys. 91, 6 (2002).
--化学反応式を使った系の解析についてはプラズマではないが,例えば
--J. Warnatz, et al., 「Combustion 」3rd ed. (Springer, 2001) などが参考になる.
-[4] プラズマエッチングについては,例えば
--菅井秀郎 「プラズマエレクトロニクス(インターユニバーシティー)」(2000).
--チャップマン「プラズマプロセスの基礎」(電気書院, 1985)
--徳山「半導体ドライエッチング技術」(産業図書, 1992)
-[5] 物質の生成エンタルピーなどのデータは,例えば
--CRC Handbook of Physics and Chemistry, 91st ed. (CRC, 2010)
--http://webbook nist.gov/ chemistry./
-[6] イオンシースについては,例えば
--Lieberman「プラズマ/プロセスの原理」第二版(丸善,2011)に詳しい.
-[7] 具体的なエッチング手法については,例えば
--M. エルベンスポーク,「シリコンマイクロ加工の基礎」(シュプリンガー, 2001)
-[8] GaAsやGaNでエッチングについての単行本は見当たらないが,プロセス全般を説明しているものに
--A. G. Baca, C. I. H. Ashby, “Fabrication of GaAs Devices”, (IEE 2005),
--S. J. Pearton, et al., “GaN: Processing, defects, and devices ” J. Appl. Phys. 86, 1 (1999).
--S. J. Pearton, “Processing of wide band gap semiconductors”, (William Andrew, 2000).
--H. Morkoc, Handbook of Nitride semiconductor and devices:(Wiley-VCH, 2006) などがある.
-[9] High-kのエッチングについては,例えば
--L. Sha, J. P. Chang, J. Vac. Sci. Technol. A 22, 88 (2004).
--K. Nakamura, et al., Vacuum 80, 761 (2006).
-[10] フルオロカーボンプラズマについては,ASETプラズマ研の研究に詳しい
--M. Sekine, Appl. Surf. Sci. 192, 270 (2002).
--M. Sekine, Pure Appl. Chem. 74, 381 (2002).
--T. Tatsumi, Appl. Surf. Sci. 253, 6716 (2007).
-[11] 林俊雄,プラズマ核融合学会誌83, 341 (2007). 
-[12] ウェットプロセスや溶液中の微粒子については,例えば以下を参考にできる.
--堀池靖弘,小川洋輝 「はじめての半導体洗浄技術」(日刊工業, 2002).
--イスラエルアチビィリ「分子間力と表面力」(朝倉書店, 1996).
--エッチング方法については,
--P. Walker, et al., CRC Handbook of Metal etchants (CRC, 1991), 
--K. R. Williams, J. Microelectrochem. Sys. 5, 256 (1996); ibid 12, 761 (2003) なども参考になる.
-[13] レジスト材料については,例えば
--出水清史監修,「半導体プロセス教本」第3章リソグラフィー (SEMIジャパン, 2007).
--E. Reichmanis,	et al., Chem. Mater. 3, 394 (1991).
-[14] H. Gokan, S. Esho, Y. Ohnishi, J. Electrochem. Soc. 130, 143 (1983).
-[15] D. Zhang, M. Kushner, J. Appl. Phys. 87, 1060 (2000).
-[16] K. Karahashi, et al., J. Vac. Sci. Technol. A 22, 1166 (2004).
-[17] T. Tatsumi, et al., J. Vac. Sci. Technol. B 18, 1897 (2000).
-[18] B. Wu, et al., J. Appl. Phys. 108, 051101 (2010). 
-[19] DC重畳については,
--T. Yamaguchi, M. Hori, et al., J. Phys. D: Appl. Phys. 45, 025203 (2012).
--M. Wang, Kushner, J. Appl. Phys. 107, 023308 (2011); ibid 023309 (2011).
-[20] 量子化学計算によるガス解離過程の解析については,例えば
--T. Hayashi, et al. Jpn. J. Appl. Phys. 50, 036203 (2011).
--H. Hayashi, et al., J. Vac. Sci. Technol. A 17, 2557 (1999).
-[21] レジストと希ガス,イオン,光との相互作用についての最近のレビュー
--G. S. Oehlein, et al., J. Vac. Sci. Technol. B 29, 010801 (2011).
-[22] Negishi, et al., J. Vac. Sci. Technol. B 23, 217 (2005).
-[23] フルオロカーボンプラズマビームとレジスト表面の相互作用については
--T. Takeuchi, et al., Jpn. J. Appl. Phys. 50, 08JE05 (2011).
--T. Takeuchi, et al., J. Phys. D: Appl. Phys. 46, 102001 (2013).
--石川健治,シリコンテクノロジー研究会133回(応用物理学会, 2011)
-[24] E. Pargon, et al., J. Appl. Phys. 105, 094902 (2009).
-[25] T. Mukawa, USPat appl. 20110159697 (2011).
-[26] PAPEについては,例えば
--S. Uchida, M. Hori, et al., J. Appl. Phys. 103, 073303 (2008).
--H. Yamamoto, M. Hori, et al., J. Appl. Phys. 109, 084112 (2011).
--K. Ishikawa, M. Hori, et al., J. Phys. Chem. Lett. 2, 1278 (2011).

(c) Kenji Ishikawa