ProceedingIntern14 の変更点


#author("2020-11-20T22:43:51+09:00","default:ishikawa","ishikawa")
#include(MenuTab1,notitle)

2014年の国際会議リスト

-378) M. Sekine, Y. Zhang, ''K. Ishikawa'', K. Takeda, H. Kondo, M. Hori.
--Plasma nano-interface with organic materials for surface-roughness formation.
---The 9th EU-Japan Joint Symposium on Plasma Processing, (Bohinj Park ECO Hotel, Bohinjska Bistrica, Slovenia, Jan. 19-23, 2014).
-379) ''Kenji Ishikawa'', Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas.
---International workshop on control of fluctuation of plasma processes - Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation", 3B-WS-07
-380) Takayuki Ohta, Hiroshi Hashizume, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, Masafumi Ito.
--(INVITED) Control of cellular activities by oxygen radical treatment.
---International workshop on control of fluctuation of plasma processes - Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation", 3B-WS-04
-381) Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroyuki Kano, Makoto Sekine, Masaru Hori.
--(INVITED) Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications.
---International workshop on control of fluctuation of plasma processes - Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation", 3B-WS-08
-382) ''Kenji Ishikawa'', Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--(INVITED) Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas.
---The 8th International Conference on Reactive Plasmas (ICRP-8), (Fukuoka, Japan, February 2-6, 2014), 5A-PM-O1
-383) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori.
--Survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells.
---The 8th International Conference on Reactive Plasmas (ICRP-8)  , (Fukuoka, Japan, February 2-6, 2014), 4A-PM-O5
-384) Yan Zhang, Takuya Takeuchi, Hiroki Nagano, ''Kenji Ishikawa'', Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
--Effect of HBr plasma cure for reduction of roughness formation on ArF photoresist surface during plasma etching process.
---The 8th International Conference on Reactive Plasmas (ICRP-8) , (Fukuoka, Japan, February 2-6, 2014), 4B-PM-O3
-385) Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito.
--Fluorescent and structural observations of P. digitatum spores inactivated with oxygen radical treatment.
---The 8th International Conference on Reactive Plasmas (ICRP-8) , (Fukuoka, Japan, February 2-6, 2014), 5A-PM-O2
-386) Takayoshi Tsutsumi, ''Kenji Ishikawa'', Keigo Takeda, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Rapid non-contact measurements of heat fluxes to substrate in nitorogen plasmas.
---The 8th International Conference on Reactive Plasmas (ICRP-8) , (Fukuoka, Japan, February 2-6, 2014), 5B-PM-O2
-387) Hiroki Kondo, Lingyun Jia, Da Xu, Masayuki Nakamura, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori.
--Effect of spiral microwave antenna configuration on the production of nano-crystalline film by chemical sputtering in ECR plasma.
---The 8th International Conference on Reactive Plasmas (ICRP-8) , (Fukuoka, Japan, February 2-6, 2014), 4P-PM-SPD-P05
-388) Keigo Takeda, Fengdong Jia, ''Kenji Ishikawa'', Hiroyuki Kano, Makoto Sekine, and Masaru Hori.
--Effect of gas flow on spatial distribution of O (3Pj) atoms in ac power excited non-equilibrium atmospheric pressure O2/Ar plasma jet.
---The 8th International Conference on Reactive Plasmas (ICRP-8) , (Fukuoka, Japan, February 2-6, 2014), 5P-AM-S02-P09
-389) Makoto Sekine, Zecheng Liu, Shang Chen, Yi Lu, Ryosuke Kometani, ''Kenji Ishikawa'', Hiroyuki Kano, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
--Recovery of plasma-induced damage in GaN by in situ radical exposure.
---The 8th International Conference on Reactive Plasmas (ICRP-8)  , (Fukuoka, Japan, February 2-6, 2014), 6P-AM-S06-P18
-390) Satomi Tajima, Toshio Hayashi, Koji Yamakawa, ''Kenji Ishikawa'', Minoru Sasaki, Shoji Den, Makoto Sekine, and Masaru Hori.
--Evaluation of the loss of F during the Si chemical dry etching using the reaction of F2 + NO → F + FNO.
---The 8th International Conference on Reactive Plasmas (ICRP-8) , (Fukuoka, Japan, February 2-6, 2014), 6P-AM-SPD-P05
-391) Satomi Tajima, Makoto Sekine, Hiroshi Hashizume, Masafumi Ito, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', and Masaru Hori.
--Isolation of neutral species generated from the Ar/O2 non-equilibrium atmospheric-pressure micro hollow-cathode discharge for the modification of the A549 cells.
---The 8th International Conference on Reactive Plasmas (ICRP-8) , (Fukuoka, Japan, February 2-6, 2014), 6P-AM-SPD-P06
-392) Jerome Jolibois, Jagath Kularatne, Hiroyuki Kano, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, ''Kenji Ishikawa'', and Masaru Hori.
--Large-scale synthesis of nanographene using in-liquid plasma and chemical reduction process.
---The 8th International Conference on Reactive Plasmas (ICRP-8) , (Fukuoka, Japan, February 2-6, 2014), 6P-PM-S09-P11
-393) Jagath S. Kularatne, Jerome Jolibois, Takayuki Ohta, Masafumi Ito, Hiroyuki Kano, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Effect of power supply on metal emission intensity induced by non-equilibrium atmospheric pressure plasma.
---The 8th International Conference on Reactive Plasmas (ICRP-8) , (Fukuoka, Japan, February 2-6, 2014), 6P-PM-S09-P12
-394) ''Kenji Ishikawa'', Takuya Takeuchi, Yan Zhang, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--(INVITED) Plasma induced surface roughness of polymeric materials.
---18th KJ Workshop and 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, (Fukuoka, Japan February 6-7, 2014), 9:50-10:15 on Feb. 8.
-395) Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Masaru Hori.
--(INVITED) Behaviors of activated species in SiH4/H2 plasma for µc-Si:H thin film deposition.
---18th KJ Workshop and 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, (Fukuoka, Japan February 6-7, 2014), 14:55-15:20 on Feb. 8. 
-396) Y. Setsuhara, G. Uchida, K. Kawabata, A. Miyazaki, K. Takenaka, K. Takeda, ''Kenji Ishikawa'', M. Hori.
--(INVITED) Dynamics and reactive particle generation in atmospheric-pressure discharge as a basis for plasma medicine.
---18th KJ Workshop and 2014 Japan-Korea Joint Symposium on Advanced Solar Cells, (Fukuoka, Japan February 6-7, 2014), 16:10 - 16:35 on Feb. 7.
-397) Y. Lu, ''K. Ishikawa'', H. Kondo, O. Oda, M. Sekine, M. Hori.
--Study on a novel radical-enhanced metalorganic chemical vapor deposition (REMOCVD) for GaN epitaxial growth.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 03pC12O Mar 3. 17:05-17:20
-398) H. Shimoeda, H. Kondo, K. Takeda, ''K. Ishikawa'', M. Hiramatsu, M. Sekine, M. Hori.
--Control of edge and surface oxidation of carbon nanowalls by argon ion irradiation.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 03pD08O Mar 4. 15:55-16:10
-399) Hiromasa Tanaka, M. Mizuno, ''K. Ishikawa'', K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori.
--Plasma-activated medium downregulated signaling pathways that control proliferation and survival in glioblastoma brain tumor cells.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), Mar 5. 10:00-10:15
-400) T. Amano, K. Takeda, H. Kondo, ''K. Ishikawa'', T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine, M. Hori.
--Electro catalytic properties of Pt-supported nanographene synthesis using in-liquid plasma.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 05aB06O Mar 5. 11:15-11:30
-401) Yudai Miyawaki, ''K. Ishikawa'', Y. Kondo, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori.
--Observation of dangling bonds in silicon nitride films during exposure of F atoms at down-flow of CF4 plasmas using real-time / in-situ electron spin resonance.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 06aB02O Mar 6. 9:45-10:00
-402) A. Ando, T. Takeda, H. Kondo, ''K. Ishikawa'', M. Sekine T. Ohta, M. Ito, M. Hiramatsu, H. Kano, M. Hori.
--Spectroscopic analysis of gas-liquid plasma for nanographene synthesis.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 06aD03O Mar 6. 10:00-10:15
-403) S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, ''K. Ishikawa'', H. Kano, M. Sekine, and M. Hori.
--Effect of ambient air engulfment on generation of activated species in 60 Hz non-equilibrium atmospheric pressure ar plasma jet.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 03pP15
-404) T. Tsutsumi, ''K. Ishikawa'', K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori.
--Measurement of heat fluxes of si substrate from plasma by using frequency low coherence interferometer.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 03pP29
-405) J. Kularatne, T. Ohta, J. Jolibois, M. Ito, H. Takemura, H. Kano, H. Kondo, ''K. Ishikawa'', M. Sekine, and M. Hori.
--Emission charateristics of metallic elements in solution using non-equilibrium atmospheric pressure microplasma.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 04pP07
-406) H. Hashizume, T. Towatari, T. Ohta, K. Takeda, ''K. Ishikawa'', M. Hori, and M. Ito.
--Fluoescent analysis of inactivation mechanism of P. digitatum spores treated with oxygen radicals.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 04pP18
-407) H. Hashizume, T. Ohta, K. Takeda, ''K. Ishikawa'', M. Hori, and M. Ito.
--Nanostructural observation of P. digitatum spores on the inactivation process with oxygen radical treatment.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 04pP19
-408) D. Xu, L. Jia, M. Nakamura, H. Kondo, ''K. Ishikawa'', M. Sekine, and M. Hori.
--Effect of gas flow rate on crystalline structures of amorphous carbon films employing radical-injection plasma-enhanced chemical vapor deposition.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 05pP34
-409) K. Miwa, K. Takeda, ''K. Ishikawa'', H. Kondo, M. Sekine, M. Hori.
--Silicon thin film solar cells fabricated by H radical injection plasma.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 05pP49
-410) M. Nakamura, L. Jia, D. Xu, H. Kondo, ''K. Ishikawa'', M. Sekine, and M. Hori.
--Effects of low rf bias power on optoelectrical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 05pP71
-411) L. Jia, D. Xu, ''K. Ishikawa'', H. Kondo, M. Sekine, and M. Hori.
--Effects of residence time on electronic and electrical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 05pP72
-412) T. Kako, Z. Liu, ''K. Ishikawa'', K. Takada, H. Kondo, O. Oda, M. Sekine, M. Hori.
--A high temperature etching of GaN employing CH4/H2 Gases.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 06aP02
-413) Y. Kondo, Y. Miyawaki, K. Takeda, S. Tajima, H. Kondo, ''K. Ishikawa'', T. Hayashi, M. Sekine, M. Hori.
--Mechanism of generating active species and etch reaction in CHxFy plasma.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 06aP03
-414) Z. Liu, T. Kako, ''K. Ishikawa'', O. Oda, K. Takeda, H. Kondo, M. Sekine, M. Hori.
--GaN etching at high temperature employing N2 added Cl2 Plasma.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 06aP04
-415) J. Jolibois, J. Kularatne, H. Kondo, M. Ito, H. Kano, ''K. Ishikawa'', M. Hiramatsu, and M. Hori.
--Effect of Ar flow rate on the synthesis of nanographene produced from in-liquid plasma.
---6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, (Meijo Univ., Aichi, Japan, Mar. 2-6, 2014), 06aP12
-416) ''Kenji Ishikawa'', Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Electron spin resonance study of radical generation during non-thermal plasma blood coagulation.
---The 17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014), Mar. 25, P31 session 18:00-18:30, P186.
-417) Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito.
--Microscopic observation of inactivation process of fungal spores treated with oxygen radicals produced from an atmospheric-pressure plasma.
---The 17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014), Mar. 24, P46 session, P271.
-418) H. Tanaka, M. Mizuno, ''K. Ishikawa'', K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori.
--(INVITED) Intracellular molecular mechanisms of apoptosis in cancer cells by plasma-activated medium.
---The International Workshop on Plasmas for Cancer Treatment (IWPCT), (Washington DC, USA, March 25 -26, 2014), March 26 9:00 - 9:30
-419) ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--(INVITED) Ultra high density atmospheric pressure plasma source.
---The International Symposium on Plasma-Nano Materials and Processes, (The Riverside Hotel, Seoul, South Korea, April 1 - 5, 2014), Session III April 3, 14:00~14:30
-420) ''Kenji Ishikawa'', Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--(INVITED) Diagnostics of SiH4/H2 plasma and surface reaction in microcrystalline silicon deposition.
---The 41st International Conference On Metallurgical Coatings & Thin Films (ICMTCF), May 2, 10:00 B2-7
-421) ''Kenji Ishikawa'', Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae  Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--(INVITED) Electron spin resonance study of plasma-activated-medium.
---International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014), Nara, Japan, May 23-24, 2014), 3-4I
-422) Satomi Tajima, Hiroshi Hashizume, Masafumi Ito, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--(INVITED) The effect of neutral species on modification of the A549 and Saos-2 growth and proliferation.
---International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014), Nara, Japan, May 23-24, 2014), P02
-423) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori.
--(INVITED) Diagnostics of intracellular signaling systems of glioblastoma braintumor cells treated with plasma-activated medium.
---International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014), Nara, Japan, May 23-24, 2014), P12
-424) Hiroshi Hashizume,  Takuya Towatari, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito.
--(INVITED) Quantitative evaluation of the inactivation process of P. digitatum spores on the basis of dose of ground-state atomic oxygen.
---International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014), Nara, Japan, May 23-24, 2014), P13
-425) ''Kenji Ishikawa'', Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae  Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Electron spin resonance study of plasma-activated-medium.
---5th International Conference on Plasma Medicine, (Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014), 19-P09-05
-426) ''Kenji Ishikawa'', Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Electron spin resonance study of plasma-biological surface interactions under atmospheric pressure plasmas.
---23-AO01
-427) Takuya Towatari, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito.
--Inactivation of microorganism in liquid treated with neutral reactive oxygen species.
---5th International Conference on Plasma Medicine, (Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014), 20-P03-03
-428) Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito.
--Inactivation process of P. digitatum spores evaluated by dose of ground-state atomic oxygen.
---5th International Conference on Plasma Medicine, (Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014),19-BO12
-429) Yuichi Setsuhara, Giichiro Uchida, Keisuke Takenaka, A. Miyazaki, K. Kawabata, Keigo Takeda, ''Kenji Ishikawa'', and Masaru Hori.
--Behaviors of atmospheric pressure discharge and its interaction with soft materials as a basis for plasma medicine.
---5th International Conference on Plasma Medicine, (Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014), 20-BO01
-430) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori.
--Diagnostics of intercellular signaling systems of glioblastoma brain tumor cells treated with plasma-activated medium.
---23-AO03
-431) Giichiro Uchida, Keisuke Takenaka, A. Miyazaki, K. Kawabata, Yuichi Setsuhara, Keigo Takeda, ''Kenji Ishikawa'', and Masaru Hori.
--Characteristics of reactive particle production in atmospheric pressure DBD plasma jet.
---5th International Conference on Plasma Medicine, (Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014), 19-P08-09
-432) Daiki Yamagami, Masashi Ueda, Shinichiro Kamino, Keiko Watanabe, Masaru Hori, ''Kenji Ishikawa'', Yuzuru Ikehara, Hajime Sakakita, and Shuichi Enomoto.
--Histological comparison of the wound healing process between non-thermal plasma homostatis and thermal coagulation hemostasis.
---5th International Conference on Plasma Medicine, (Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014), 20-P05-01
-433) Hidefumi Uchiyama, Zhao Qing-Li, Nobuyuki Nojima, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Takashi Kondo.
--Free radical generation by cold atmospheric argon plasma in aqueous solutions. An ESR spin trapping study.
---5th International Conference on Plasma Medicine, (Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014), 20-P08-06
-434) Keigo Takeda, Takumi Kumakura, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, and Masaru Hori.
--Characteristics of AC excited non-equilibrium atmospheric pressure helium plasma jet for medical application.
---5th International Conference on Plasma Medicine, (Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014), 20-P11-04
-435) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori.
--Signaling circuits that are affected by plasma-activated medium in brain tumor cells.
---5th International Conference on Plasma Medicine, (Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014), 20-P13-02
-436) Yi Lu, Akiko Kobayashi, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Study on reaction mechanism of plasma-enhanced atomic layer deposition of SiO2 films by in-situ Fourier transform infrared spectroscopy. 
---14th International Conference on Atomic Layer Deposition, (Hotel Granvia Kyoto, Kyoto, Japan, June 15-18, 2014)
-437) K. Takeda, Y. Abe, ''K. Ishikawa'', H. Kondo, M. Sekine, M. Hori.
--(INVITED) Behaviors of hydrogen atom and SiH3 radical in SiH4/H2 plasma measured with absorption spectroscopy.
---The 6th International Workshop on Plasma Spectroscopy, (Stevens Institute of Technology, Hoboken, New Jersey, USA, June 15-18, 2014), June 18, 2014
-438) Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Keigo Takeda, ''Kenji Ishikawa'', Hiroyuki Kano, Fumitaka Kikkawa.
--(INVITED) Cancer treatments using plasma-activated medium.
---19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Gunsan, Korea, July 06-07, 2014), 15:30-16:00, July 06, 2014
-439) M. Hori, M. Tanaka, ''K. Ishikawa'', H. Kondo, K. Takeda, M. Sekine, F. Kikkawa, K. Nakamura, H. kajiyama and M. Mizuno.
--(INVITED) Dawing of plasma life sciences ~ diagnostics and control of reactive species in plasma bio processing ~.
---The 4th International Symposium for Plasma Biosciences, (Delpino Resort, Sokcho, Gangwon-do, Korea, August 17-20, 2014), 14:55-, Aug. 18, 2014
-440) Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect.
---19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Gunsan, Korea, July 06-07, 2014)
-441) Takumi Kumakura, Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, and Masaru Hori.
--Diagnostics of non-equilibrium atmospheric pressure plasmas for agricultural applications.
---19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Gunsan, Korea, July 06-07, 2014)
-442) Yan Zhang, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Modifications of photoresists surface on photon irradiations in HBr plasmas.
---19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Gunsan, Korea, July 06-07, 2014)
-443) Hiroki Kondo, Tomoaki Amano, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, Masafumi Ito, and Mineo Hiramatsu.
--Synthesis and electrocatalytic properties of Pt nanoparticles-supported nanographene synthesized employing in-liquid plasma.
---International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014), (Gunsan, Korea, July 8-11, 2014), MP-ECS-TuA-5
-444) ''Kenji Ishikawa'', Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Plasma-biological material interactions studied by employing electron spin resonance (ESR) technique.
---International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014), (Gunsan, Korea, July 8-11, 2014), P1-04-05
-445) Toshiya Suzuki, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Temporal changes in H and N atom densities in plasmas caused by reactor surface modifications.
---International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014), (Gunsan, Korea, July 8-11, 2014), P1-04-06
-446) ''Kenji Ishikawa''.
--ESR study of biological surface interacted plasmas.
---International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014), (Gunsan, Korea, July 8-11, 2014), Poster
-447) Jerome Jolibois, Jagath Kularatne, Hiroki Kondo, Masafumi Ito, Hiroyuki Kano, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori.
--Effect of carrier gas on graphene synthesized from in-liquid plasma.
---International Union of Materials Research Societies - The 15th International Conference in Asia (IUMRS-ICA2014), (Fukuoka University, Fukuoka, August 24-30, 2014), D2-O26-008
-448) Giichiro Uchida, Kousuke Takenaka, Kazufumi Kawabata, Atsushi Miyazaki, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Yuichi Setsuhara.
--Temporal behavior of reactive particle production in DBD plasma jet.
---International Union of Materials Research Societies - The 15th International Conference in Asia (IUMRS-ICA2014), (Fukuoka University, Fukuoka, August 24-30, 2014), D2-O28-007
-449) ''Kenji Ishikawa'', Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Electron spin resonance(ESR)study of edible meat irradiated atmospherics-pressure-plasmas.
---International Union of Materials Research Societies - The 15th International Conference in Asia (IUMRS-ICA2014), (Fukuoka University, Fukuoka, August 24-30, 2014), D2-O28-014
-450) ''Kenji Ishikawa'', Hiromasa Tanaka, Keigo Takeda, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Interactions between plasma and biological material: Analysis by electron spin resonance (ESR) technique.
---Gordon Research Conference, Plasma Processing Science, (Bryant University, Smithfield, RI, July 27 - August 1, 2014), 24
-451) Makoto Sekine, Y. Abe, K. Takeda, H. Kondo, ''K. Ishikawa'', M. Hori.
--Diagnostics of hydrogen radical-injected SiH4/H2 plasma process for microcrystalline silicon deposition.
---12th APCPST and 27th SPSM Conference (Adelaide Convention Centre North Terrace, Adelaide, South Australia 5001, Australia, Aug 31-Sep 5, 2014)
-452) ''Kenji Ishikawa''.
--(INVITED) In-liquid plasma synthesis of graphene for catalyst supports.
---2014 International Symposium on Plasmas for Catalyses and Energy Materials (ISPCEM-2014) September 13-16, 2014; Tianjin, China, I2 11:30-12:00
-453) H. Kondo, K. Yasuda, ''K. Ishikawa'', M. Sekine, M. Hiramatsu, and M. Hori.
--Gradual transition of chemical structures at initial growth stage of carbon nanowalls.
---The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition, (Warsaw University of Technology, Warsaw, Poland, September 16-20, 2013), G-IV 3
-454) Giichiro Uchida, Kosuke Takenaka, Kazufumi Kawabata, Atsushi Miyazaki, Yuichi Setsuhara, Keigo Takeda, ''Kenji Ishikawa'', and Masaru Hori.
--Discharge properties and radical-generation chracteristics in DBD plasma jet.
---14th International Conference on Plasma Surface Engineering (PSE2014), (Garmisch-Partenkirchen, September 15 - 19, 2014),  PO1054
-455) Hiroki Kondo, Hironao Shimoeda, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori.
--Anisotropic etching and structural modification of carbon nanowalls by oxygen-related radicals.
---14th International Conference on Plasma Surface Engineering (PSE2014), (Garmisch-Partenkirchen, September 15 - 19, 2014), PO4084
-456) Makoto Sekine, Hiroki Kondo, ''Kenji Ishikawa'', and Masaru Hori.
--(INVITED) Novel plasma enhanced chemical vapor deposition methods utilizing radical reactions.
---The 2014 ECS and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting (Oct. 5-9, 2014. Cancun, Mexico)
-457) ''Kenji Ishikawa''.
--(INVITED) Diagnostics of plasma-surface interactions in plasma processes.
---67th Gaseous Electronics Conference, (City center Marriott & Raleigh convention center, Raleigh, NC, USA, Nov. 3-7, 2014), KW1.00001 (13:30-14:00) Nov. 5
-458) Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Vacuum ultraviolet spectroscopic analysis of AC excited non-equilibrium atmospheric pressure Ar plasma jet.
---67th Gaseous Electronics Conference, (City center Marriott & Raleigh convention center, Raleigh, NC, USA, Nov. 3-7, 2014), ET1.00005 (14:30-14:45) Nov. 4 
-459) Hiroki Kondo, Tomoki Amano, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, and Mineo Hiramatsu.
--Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma.
---67th Gaseous Electronics Conference, (City center Marriott & Raleigh convention center, Raleigh, NC, USA, Nov. 3-7, 2014), QR2.00003 (16:00-16:15) Nov. 6
-460) Makoto Sekine, Yusuke Kondo, Yudai Miyawaki, ''Kenji Ishikawa'', Toshio Hayashi, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
--Ion densities of CH2F+ and CHF2+ generated by dissociative ionization of charge exchange collisions in Ar or Kr diluted CH2F2 Plasmas.
---67th Gaseous Electronics Conference, (City center Marriott & Raleigh convention center, Raleigh, NC, USA, Nov. 3-7, 2014), MR1.00062 (17:30- Poster) Nov. 5
-461) Makoto Sekine, Yan Zhang, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, and Masaru Hori.
--Roughness formation on photoresist during etching examined by HBr plasma-beam.
---67th Gaseous Electronics Conference, (City center Marriott & Raleigh convention center, Raleigh, NC, USA, Nov. 3-7, 2014), MR1.00063 (17:30- Poster) Nov. 5
-462) Takayuki Ohta, Hiroshi Hashizume, Keigo Takeda, ''Kenji Ishikawa'', Masafumi Ito, and Masaru Hori.
--Multiplex coherent anti-Stokes Raman scattering microspectroscopy for monitoring molecular structural change in biological samples.
---67th Gaseous Electronics Conference, (City center Marriott & Raleigh convention center, Raleigh, NC, USA, Nov. 3-7, 2014), MR1.00067 (17:30- Poster) Nov. 5
-463) Masaru Hori, Keigo Takeda, Takumi Kumakura, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Yoshihiro Nakai.
--Diagnostics of ac excited atmospheric pressure plasma jet with He for biomedical applications.
---67th Gaseous Electronics Conference, (City center Marriott & Raleigh convention center, Raleigh, NC, USA, Nov. 3-7, 2014), MR1.00071 (17:30- Poster) Nov. 5
-464) T. Takeuchi, Yan Zhang, Makoto Sekine, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Masaru Hori.
--Plasma induced roughness formation on photoresist examined by HBr plasma-beam etching.
---International Symposium on American Vacuum Society (AVS), (November 2014), PS2-FrM-8
-465) Hiroki Kondo, Shun Imai, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, and Mineo Hiramatsu.
--Controlled synthesis and fuel cell application of carbon nanowalls.
---International Symposium on American Vacuum Society (AVS), (November 2014), 2D+EM+MS+NS+FrM10
-466) Jeongeon Han, B. B. Sahu, Kyung S. Shin, ''Kenji Ishikawa'', and Masaru Hori.
--Systematic diagnostic approach for fabricating high quality SiNx:(H) film using UHF assisted capacitively coupled plasma source.
---International Symposium on American Vacuum Society (AVS), (November 2014), PS-WeA-10
-467) R. Kometani, ''K. Ishikawa'', K Takeda, H. Kondo, M. Sekine, M. Hori.
--High temperature etching of GaN preserving smooth and stoichiometric GaN surface.
---International Symposium on American Vacuum Society (AVS), (November 2014), PS-ThA6
-468) Z. Liu, J. Pan, ''K. Ishikawa'', K. Takeda, H. Kondo, O. Oda, M. Sekine, M. Hori.
--Damage-formations in GaN processed at high temperatures.
---36th International Symposium on Dry Process, (Annex Hall, Pacifico Yokohama, Pacifico Convention Plaza, Yokohama, Japan , November 27-28, 2014), F-4
-469) Yan Zhang, ''Kenji Ishikawa'', Makoto Sekine, Masanaga Fukasawa, Kazunori Nagahata, Shigetaka Tomiya, Tetsuya Tatsumi, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
--Surface modifications of photoresist polymers under photon irradiations emitted from HBr plasma.
---36th International Symposium on Dry Process, (Annex Hall, Pacifico Yokohama, Pacifico Convention Plaza, Yokohama, Japan , November 27-28, 2014), B-3
-470) Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Electronic properties of HBr, O2, and Cl2 used in Si etching.
---36th International Symposium on Dry Process, (Annex Hall, Pacifico Yokohama, Pacifico Convention Plaza, Yokohama, Japan , November 27-28, 2014), P-14.
-471) Satomi Tajima, Toshio Hayashi, Koji Yamakawa, Minoru Sasaki, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Anisotropic chemical dry silicon wafer etching using F2+NO→F+FNO reaction.
---36th International Symposium on Dry Process, (Annex Hall, Pacifico Yokohama, Pacifico Convention Plaza, Yokohama, Japan , November 27-28, 2014), P-26
-472) Masaru Hori, Naoyuki Kurake, ''Kenji Ishikawa'', Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, and Makoto Sekine.
--Electron spin resonance study of plasma-activated-media (PAM).
---2014 Materials Research Society Fall Meeting and Exhibit, (Boston, Massachusetts, USA, November 30-December 5, 2014), G1.04 Dec. 3, 3:45 PM - 
-473) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, and Masaru Hori.
--Analyses of intracellular molecular mechanisms of cancer cell death in plasma-activated solutions.
---2014 Materials Research Society Fall Meeting and Exhibit, (Boston, Massachusetts, USA, November 30-December 5, 2014), Dec. 3, 3:30 PM - G1.03
-474) Takayuki Ohta, Hidehiko Fujita, ''Kenji Ishikawa'', Keigo Takeda, and Masaru Hori.
--Mass-spectral changes of protein treated by non-equilibrium atmospheric pressure plasma.
---2014 Materials Research Society Fall Meeting and Exhibit, (Boston, Massachusetts, USA, November 30-December 5, 2014), Dec. 3, 4:45 PM - G1.07
-475) Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito.
--Dose-dependent effects of neutral oxygen radicals on the inactivation process of P. digitatum spores.
---2014 Materials Research Society Fall Meeting and Exhibit, (Boston, Massachusetts, USA, November 30-December 5, 2014), Dec. 4, 9:30 AM - G3.03
-476) Takayuki Ohta, Hiroshi Hashizume, ''Kenji Ishikawa'', Keigo Takeda, Masafumi Ito, Masaru Hori.
--Molecular structure of budding yeast cell measured by multiplex coherent anti-Stokes Raman scattering microspectroscopy.
---2014 Materials Research Society Fall Meeting and Exhibit, (Boston, Massachusetts, USA, November 30-December 5, 2014), Dec. 3, Poster 8:00 - G2.01
-477) Yuichi Setsuhara, Giichiro Uchida, K. Kawabata, K. Takenaka, Keigo Takeda, ''Kenji Ishikawa'', and M. Hori.
--Frequency dependence of atmospheric-pressure discharge generation.
---2014 Materials Research Society Fall Meeting and Exhibit, (Boston, Massachusetts, USA, November 30-December 5, 2014), Dec. 4, Poster 8:00 PM - G5.06
-478) ''Kenji Ishikawa'', Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
-- (INVITED)  Plasma-biological surface interaction for food hygiene.
---MRS-J (Yokohama, Japan), A-I11-005, 11:30-12:00 (Dec. 11)
-479) Takayuki Ohta, Hiroshi Hashizume, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, Masafumi Ito.
--(INVITED) Development of inactivation technology asusing plasma for freshness-keeping on post-harvest management.
---MRS-J (Yokohama, Japan), A-I11-002, 10:00-10:30 (Dec. 11) 


----
#include(MenuTab2,notitle)
#include(Footer,notitle)