ProceedingIntern15 の変更点


#author("2020-11-20T22:43:11+09:00","default:ishikawa","ishikawa")
#include(MenuTab1,notitle)

2015年の国際会議リスト

-480) K. Takeda, ''K. Ishikawa'', M. Sekine, M. Hori.
--Measurement of atomic oxygen generated by AC excited atmospheric pressure O2/Ar plasma with vacuum ultraviolet absorption spectroscopy.
---The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Hokkaido University, Sapporo, Japan, 27-29 January, 2015), 17:30-17:45, January 27, 2015
-481) A. Ando, K. Takeda, H. Kondo, ''K. Ishikawa'', M. Sekine, T. Ohta, M. Ito, M. Hiramatsu, H. Kano, M. Hori.
--Spectroscopic diagnostics of AC excited plasma in-liquid alcohol for nanographene synthesis.
---The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Hokkaido University, Sapporo, Japan, 27-29 January, 2015), 17:30-17:45, January 27, 2015
-482) Y. Setsuhara, G. Uchida, K. Kawabata, K. Takeda, ''K. Ishikawa'', M. Hori.
--Spatio-temporal behaviors of atmospheric-pressure discharges.
---The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Hokkaido University, Sapporo, Japan, 27-29 January, 2015), 18:00-18:15 January 27, 2015
-483) ''Kenji Ishikawa''.
--(INVITED) Chemical analysis of plasma-activated medium (PAM).
---2nd Annual Meeting Barcelona -COST TD1208, (Barcelona, Spain, Feb. 23-26, 2015)
-484) ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Plasma-surface interactions analyzed by vibrational sum-frequency generation (SFG).
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), A1-I-02 March 27, 2015
-485) Ryo Furuta, Takahiro Nomura, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori.
--Elucidation of plasma induced reaction mechanism for living cells using multiplex coherent anti-Stokes Raman scattering (CARS) microscopy.
---The 2nd International Workshop on Plasma for Cancer Treatment (IWPCT2), Nagoya University, Japan, March 16-17, 2015, P18 March 16-17, 2015
-486) Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Effects of long-lived reactive species in the plasma-activated-medium.
---The 2nd International Workshop on Plasma for Cancer Treatment (IWPCT2), (Nagoya University, Japan, March 16-17, 2015, P18 March 16-17, 2015), P33
-487) Hiroshi Hashizume, Hiromasa Tanaka, Kae Nakamura, Fumitaka Kikkawa, ''Kenji Ishikawa'', Hiroyuki Kano, Masaaki Mizuno, Masaru Hori.
--Selective effect of plasma-activated medium on human breast normal and cancer cells.
---The 2nd International Workshop on Plasma for Cancer Treatment (IWPCT2), (Nagoya University, Japan, March 16-17, 2015, P18 March 16-17, 2015), P52
-488) Hirotsugu Sugiura, Lingyun Jia, Da Xu, Masayuki Nakamura, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo,Makoto Sekine, Masaru Hori.
--Dependence of VHF power on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), A2-O-04
-489) Shun Imai, Hiroki Kondo, Hiroyuki Kano, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, Masaru Hori.
--Electrochemical characteristics and durability of pt nanoparticles supported carbonnanowalls.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), A1-O-03
-490) Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Etching process with system of control of wafer temperatures measured by non-contact Fourier domain low coherence interferometry.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), A1-O-05
-491) Takayoshi Tsutsumi, Yusuke Fukunaga, ''Kenji Ishikawa'', Keigo Takeda, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Analysis of temporal changes on substrate temperature: heat fluxes during plasma processes and influence of chamber parts.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), A1-P-23
-492) Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiriaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Chemical analysis of antitumor effect of plasma-activated-medium.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), A3-O-01
-493) Tomoki Amano, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Takayuki Ohta, Masafumi Ito, Hiroyuki Kano, Mineo Hiramatsu, Makoto Sekine, Masaru Hori.
--Stability of fuel cell electrode using nanographene synthesized by in-liquid plasma.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), A5-O-03
-494) Giichiro Uchida, Kosuke Takenaka, Kazufumi Kawabata, Yuichi Setsuhara, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori.
--Discharge characteristics of atmospheric rf plasma jet.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), A5-P-09
-495) Hidehiko Fujita, Takayuki Ohta, ''Kenji Ishikawa'', Keigo Takeda, Masaru Hori.
--Changes in mass-spectra of arginine by atmospheric pressure plasma treatment.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), A5-P-10
-496) Yi Lu, Osamu Oda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Comparison between homo-epitaxial and hetero-epitaxial GaN growth using radical- enhanced metal-organic chemical vapor deposition (REMOCVD).
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), B1-O-01
-497) Takuya Towatari, Hiroshi Hashizume, Takayuki Ohta, ''Kenji Ishikawa'', Masaru Hori, Masafumi Ito.
--Reactive species generated in liquids treated with neutral oxygen radicals.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), A4-P-13
-498) Kazuki Iwamoto, Yi Lu, Osamu Oda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Masaru Hori.
--AlN deposition on polycrystalline AlN substrates by radical enhanced metal organic chemical vapor deposition.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), B1-P-09L
-499) Satomi Tajima, Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori.
--Chemical dry etching of Si using F2 and NO2 gases at elevated temperature.
---7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science, (Nagoya University, Aichi, Japan, March 26-31, 2015), D2-P-15L
-500) Masaru Hori, Hiroki Kondo, Tomoki Amano, ''Kenji Ishikawa'', Makoto Sekine, Masafumi Ito, Mineo Hiramatsu.
--Electrocatalytic property and durability of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma.
---2015 MRS Spring Meeting & Exhibit, (San Francisco, California, USA, April 6-10, 2015), QQ7.03
-501) Hiroki Kondo, Shun Imai, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, Mineo Hiramatsu.
--Electrocatalytic characteristic of Pt nanoparticles-supported carbon nanowalls for fuel cell applications.
---2015 MRS Spring Meeting & Exhibit, (San Francisco, California, USA, April 6-10, 2015), QQ8.03
-502) Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Takayuki Ohta, Masafumi Ito, Makoto Sekine and Masaru Hori.
--Multiplex coherent anti-Stokes Raman scattering microscopic observation of plasma-induced budding yeast.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), 22P1-54
-503) T. Amano, H. Kondo, K. Takeda, ''K. Ishikawa'', T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine and M. Hori.
--Stable structure analysis of the fuel cell electrode using the nanographene synthesized by in-liquid plasma.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), 22a-D-4
-504) T. Tsutsumi, ''K. Ishikawa'', K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori.
--Prediction of radial distribution from temporal variation of wafer temperature in a plasma reactor.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), 21p-C-9
-505) T. Tsutsumi, Y. Fukunaga, K. Takeda, ''K. Ishikawa'', T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori.
--Influences of wafer temperature on etch rates and profiles of organic films in H2/N2 plasma.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P1-15
-506) Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Hydrogen peroxide and nitrous ion generated in culture media by irradiation of non-equilibrium atmospheric pressure plasmas.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P1-11
-507) Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori.
--Effects of radical species on crystallographic properties of amorphous carbon films synthesized by radical injection plasma enhanced chemical vapor deposition.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), 24am-A 11:30-11:45 September 24, 2015
-508) Hirotsugu Sugiura, Lingyun Jia, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Dependence of CCP power on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P4-6
-509) Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masaru Hori, Masafumi Ito and Ryugo Tero.
--Effects of plasma-activated medium on surface morphologies of lipid bilayers.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P1-55
-510) Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, Makoto Sekine, and Masaru Hori.
--Effect of ambient air on reactive species generation in atmospheric pressure ar plasma jet.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), 21pm-C
-511) Hiroki Kondo, Hironao Shimoeda, ''Kenji Ishikawa'', Makoto Sekine, Masaru Horiand Mineo Hiramatsu.
--Surface reactions of oxygen species on carbon nanowalls.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), 22pm-B
-512) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, and Masaru Hori.
--Cellular and molecular responses of plasma-activated medium treated cells.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), 23am-C
-513) Hyung Jun Cho, Satomi Tajima, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu and Masaru Hori.
--Modification of chemical bonding structures and electrical properties of carbon nanowalls by Ar/F2 post-treatments.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), 24am-A
-514) Yusuke Kondo, Yudai Miyawaki, ''Kenji Ishikawa'', Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori.
--Control of fragmental ratio of CH2F+ and CHF2+ by argon- or krypton-diluted CH2F2 plasmas.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P1-22
-515) Shun Imai, Hiroki kondo, Hiroyuki Kano, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, and Masaru Hori.
--Durability and elucidation of degradation mechanism of Pt nanoparticles supported carbon nanowalls.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P1-43
-516) Satomi Tajima, Kayo Yamada, Kyoko Nanki, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--The enhancement of Saos-2 cell growth by neutral species extracted from the Ar/O2 +N2 plasma.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P1-48
-517) Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, Makoto Sekine, and Masaru Hori.
--Effect of ambient air on reactive species generation in atmospheric pressure ar plasma jet.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P1-50
-518) Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori.
--Multiplex coherent anti-Stokes Raman scattering microscopic observation of plasma-induced budding yeast.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P1-54
-519) Masaru Hori, Keigo Takeda, Takumi Kumakura, ''Kenji Ishikawa'', Hiromasa Tanaka, Makoto Sekine.
--Diagnostics of ac excited atmospheric pressure He plasma jet with vacuum ultraviolet absorption spectroscopy.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P2-20
-520) Takayuki Ohta, Hidehiko Fujita, ''Kenji Ishikawa'', Keigo Takeda, Masaru Hori.
--Surface-assisted laser desorption/ionization mass spectrometry using carbon nanowalls.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P2-52
-521) T. Kobayashi, T. Towatari, T. Ohta, H. Hashizume, ''K. Ishikawa'', M. Hori, M. Ito.
--Measurements of active species in solutions treated with quantified oxygen-atoms.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P2-38
-522) Tsuyoshi Kobayashi, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, ''Kenji Ishikawa'', and Masaru Hori.
--Inactivation effects of neutral-ph solutions treated with oxygen radicals.
---The 10th Asian-European International Conference On Plasma Surface Engineering, (Ramada Plaza Jeju Hotel, Jeju Island, Korea, September 20-24, 2015), P2-59
-523) K. Takeda, F. Jia, ''K. Ishikawa'', H. Kondo, M. Sekine, M. Hori.
--Diagnostics of ac excited atmospheric pressure ar plasma jet with laser scattering spectroscopy.
---17th International Symposium on Laser-Aided Plasma Diagnostics , (Gateaux Kingdom Sapporo, Hokkaido, Japan, September 27- October 1, 2015), P1.04
-524) K. Takeda, Y. Abe, ''K. Ishikawa'', H. Kondo, M. Sekine, M. Hori.
--Laser absorption spectroscopy of SiH4/H2 plasma for deposition of silicon shin films.
---17th International Symposium on Laser-Aided Plasma Diagnostics , (Gateaux Kingdom Sapporo, Hokkaido, Japan, September 27- October 1, 2015),C1
-525) Shun Imai, Hiroki Kondo, Hiroyuki Kano, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, Masaru Hori.
--Catalytic property and durability of Pt nanoparticles-supported carbon nanowalls for a high performance fuel cell electrode.
---International Workshop for Bio & Medical Applications of Plasma Science, (Jozef Stefan Institute, Slovenia, September 28-29, 2015)
-526) Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Highly precise control of substrate temperature during plasma etching and the effect on etched profile.
---The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Osaek Greenyard Hotel, Yangyang, Korea, October 3-4, 2015)
-527) Z. Liu, J. Pan, T. Kako, ''K. Ishikawa'', K. Takeda, O. Oda, H. Kondo, M. Sekine, M. Hori.
--Optimization of stage temperatures on etch-reactions of GaN films.
---The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Osaek Greenyard Hotel, Yangyang, Korea, October 3-4, 2015)
-528) H. Cho, S. Tajima, K. Takeda, H. Kondo, ''K. Ishikawa'', M. Sekine, M. Hiramatsu, M. Hori.
--Effects of fluorine termination of carbon nanowall edges on their electrical properties by Ar/NO/F mixture gas treatments.
---68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing, (Hawaii Convention Center, Hawaii, USA, October 12-16, 2015), SF2.00001
-529) Keigo Takeda, Sijie Liang, Takumi Kumakura, ''Kenji Ishikawa'', Hiromasa Tanaka, Makoto Sekine, Masaru Hori.
--Interaction of ac excited atmospheric pressure ar plasma jet with medium.
---68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing, (Hawaii Convention Center, Hawaii, USA, October 12-16, 2015), UF1.00003
-530) Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, Makoto Sekine, Masaru Hori.
--Effects of ion bombardments on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
---68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing, (Hawaii Convention Center, Hawaii, USA, October 12-16, 2015), IW2.00002
-531) Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori.
--Primary dissociation channels of SiH4.
---68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing, (Hawaii Convention Center, Hawaii, USA, October 12-16, 2015), GT1.00012
-532) Naoyuki kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Antitumor effect of synergistic contribution of nitrite and hydrogen peroxide in the plasma-activated-medium.
---68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing, (Hawaii Convention Center, Hawaii, USA, October 12-16, 2015), FT1.00007
-533) Hiroshi Hashizume, Hiromasa Tanaka, Kae Nakamura, Hiroyuki Kano, ''Kenji Ishikawa'', Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori.
--Investigation of selective induction of breast cancer cells to death with treatment of plasma-activated medium.
---68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing, (Hawaii Convention Center, Hawaii, USA, October 12-16, 2015), FT1.00006
-534) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, and Masaru Hori.
--Responses of cells in plasma-activated medium.
---68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing, (Hawaii Convention Center, Hawaii, USA, October 12-16, 2015), FT1.00004
-535) Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, ''Kenji Ishikawa'', Masaru Hori and Masafumi Ito.
--Effects of solutions treated with oxygen radicals in neutral pH region on inactivation of microorganism.
---68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing, (Hawaii Convention Center, Hawaii, USA, October 12-16, 2015), LW1.00147
-536) Satomi Tajima, Toshio Hayashi, Koji Yamakawa, Minoru Sasaki, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori.
--Modeling of molecules responsible for the sidewall protection during the chemical dry etching of silicon related materials using F2 + NOx → F + FNOx.
---68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing, (Hawaii Convention Center, Hawaii, USA, October 12-16, 2015), LW1.00013
-537) T. Hayashi, ''K. Ishikawa'', M. Sekine, and M. Hori.
--Chemical properties of gases used in highly selective etching of Si3N4.
---37th International Symposium on Dry Process, (Awaji Yumebutai International Conference Center, Awaji Island, Japan, November 5- 6, 2015), P-1
-538) H. Sugiura, L. Jia, H. Kondo, K. Takeda, ''K. Ishikawa'', M. Sekine, and M. Hori.
--Effects of ion irradiation on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
---37th International Symposium on Dry Process, (Awaji Yumebutai International Conference Center, Awaji Island, Japan, November 5- 6, 2015), P-38
-539) Z. Liu, J. Pan, A. Asano, T. Kako, ''K. Ishikawa'', K. Takeda, H. Kondo, O. Oda, M. Sekine, M. Hori.
--Low plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures.
---37th International Symposium on Dry Process, (Awaji Yumebutai International Conference Center, Awaji Island, Japan, November 5- 6, 2015), P-7
-540) Yusuke Fukunaga, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Wafer temperature dependence of organic film etch reaction in H2/N2 plasma.
---37th International Symposium on Dry Process, (Awaji Yumebutai International Conference Center, Awaji Island, Japan, November 5- 6, 2015), P-10
-541) Y. Miyoshi, M. Fukasawa, T. Shigetoshi, Y. Miyawaki, K. Takeda, ''K. Ishikawa'', K. Nagahata, K. Ogawa, Y. Enomoto, M. Sekine, M. Hori, T. Tatsumi.
--Effect of transient behavior of pulse modulated inductively coupled plasma on photon-induced interface defects.
---37th International Symposium on Dry Process, (Awaji Yumebutai International Conference Center, Awaji Island, Japan, November 5- 6, 2015), H-1
-542) Y. Ohya, M. Tomura, ''K. Ishikawa'', M. Sekine and M. Hori.
--Clarification of a SiOF layer formed on SiO2 under fluorocarbon plasma etching.
---37th International Symposium on Dry Process, (Awaji Yumebutai International Conference Center, Awaji Island, Japan, November 5- 6, 2015), B-1
-543) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori.
--(INVITED) Plasma medical science and plasma-activated medium.
---27th Materials Research Society of Japan, Frontier of Nano-Materials Based on Advanced Plasma Technologies (MRS-J), (Yokohama, December 5-7, 2015)
-544) Takumi Itoh, ''Kenji Ishikawa'', Daisuke Onoshima, Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka, Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, and Masaru Hori.
--Atmospheric pressure plasma processes of high-aspect-ratio, micro-sized hole inside.
---Joint Symposium of the 9th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-9) and the 28th Symposium on Plasma Science for Materials (SPSM-28), (Nagasaki University, Japan, December 12-15, 2015), 12PM-B-2


----
#include(MenuTab2,notitle)
#include(Footer,notitle)