ProceedingIntern17 の変更点


#author("2020-11-20T22:41:51+09:00","default:ishikawa","ishikawa")
#include(MenuTab1,notitle)

2017年の国際会議リスト

-624) M. Hori, M. Sekine, ''K. Ishikawa'', H. Kondo, K. Takeda, H. Hashizume, H. Tanaka, F. Kikkawa, M. Mizuno, M. Ito
--Interaction of plasma with cells for agriculture and biomedical applications.
---3rd International workshop on advanced plasma technology and applications - Agriculture and biotechnology by plasma -, January 11-15, 2017. Nong Lam University, Ho Chi Minh City, Vietnam. 
-625) Shun Imai, Hiroki Kondo, Kenichi Naito, Hyungjun Cho, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, Masaru Hori.
--Electrochemical characteristics and durability of Pt nanoparticles-supported carbon nanowalls for fuel cell application.
---Workshop on advanced plasma applications on bio and nanomaterials, Nagoya University, Aichi, Japan, January 27, 2017
-626) Y. Kurokawa, N. Kurake, K. Takeda, ''K. Ishikawa'', H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, M. Hori.
--Interactions between laser-generated plasmas and cell culture medium.
---Workshop on advanced plasma applications on bio and nanomaterials, Nagoya University, Aichi, Japan, January 27, 2017
-627) Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori.
--Effect of shape and conductivity of carbon nanowalls scaffold on cell morphology and proliferation.
---Workshop on advanced plasma applications on bio and nanomaterials, Nagoya University, Aichi, Japan, January 27, 2017
-628) Yugo Hosoi, Ryo Furuta, Naoyuki Kurake, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Antitumor effect of culture medium irradiated with non-equilibrium atmospheric pressure plasmas under purge of ambient by N2 and O2 mixtures.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-629) Timothy R. Brubaker, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Time evolution of reactive oxygen nitrogen species in plasma-activated liquids.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-630) Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Synthesis and crystallization of oxalates in glucose-containing liquids irradiated with non-equilibrium atmospheric pressure plasmas.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-631) Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori.
--A mechanism on generations of H2O2 and NO2- in plasma activated medium.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-632) Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Enhancement of cancer cell killing effect of hydrogen peroxide by nitrite existence in plasma activated medium.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-633) Yukihiro Kurokawa, Naoyuki Kurake, Keigo Takeda, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori.
--Antitumor effects of laser-generated plasma activated medium (LPAM) with and without catalase.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-634) Daiki Kanno, Naoyuki Kurake, Hiromasa Tanaka, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Anti-tumor effects of plasma-activated medium added with fullerenol.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-635) Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masafumi Ito, Ryugo Tero, and Masaru Hori.
--Elucidation of the effects of plasma-activated medium on supported lipid bilayers by employing high-speed atomic force microscopy.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-636) Sotaro Yamaoka, Takuya Tonami, Hiroshi Hashizume, Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masahumi Ito, and Masaru Hori.
--Dynamic observations on morphology of HeLa cell membranes in plasma activated medium by high-speed atomic force microscopy.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-637) Kaede Katsuno, Naoyuki Kurake, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Effects of dielectric walls on atmospheric-pressure plasma discharges.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-638) Ren Kuramashi, Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Measurement of reactive species in gas-liquid interface region on ac excited non-equilibrium atmospheric pressure plasma jet irradiation to liquid.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-639) Ryo Furuta, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Keigo Takeda, Takayuki Ohta, Hiroki Kondo, Masafumi Ito, Makoto Sekine, and Masaru Hori.
--Intracellular reactive oxygen and nitrogen species level changes of hela cells in plasma-activated medium.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-640) Tsuyoshi Kobayashi, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito.
--Measuremaent of HOO· in oxygen-radical-treated water using electron spin resonance.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-641) Hironori Ito, Takayuki Ohta, ''Kenji Ishikawa'', Mineo Hiramatsu, Masaru Hori.
--Decomposition of arginine by atomic oxygen radical observed with surface assisted laser desorption / ionization mass spectrometry using carbon nanowalls.
---ICPMSI - International Workshop of Plasma Medical Innovation, February 27-28, 2017. Nagoya University, Nagoya, Japan.
-642) Timothy R. Brubaker, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--(Award) Active control of reactive oxygen and nitrogen species (RONS) in plasma-activated media (PAM).
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. 04aB08O 12:00-12:15 Mar 4, 2017 (Sat)
-643) Yukihiro Kurokawa, Naoyuki Kurake, Keigo Takeda, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori.
--Killing effects of HeLa cells in laser-generated plasma activated medium (LPAM).
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. 02pE07O 15:00-15:15 Mar. 2, 2017. (Thu)
-644) Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masafumi Ito, Ryugo Tero, and Masaru Hori.
--Effects of reactive oxygen and nitrogen species in plasma-activated medium on supported lipid bilayers.
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. 04aE02O 9:45-10:00 Mar 4, 2017 (Sat)
-645) Ryo Furuta, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Keigo Takeda, Takayuki Ohta, Hiroki Kondo, Masafumi Ito, Makoto Sekine, and Masaru Hori.
--Plasma-activated medium induced intracellular changes of HeLa cells.
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. 04aE05O 10:30-10:45 Mar 4, 2017 (Sat)
-646) Shinnosuke Takai, Yi Lu, Dhasiyan Arun Kumar, Amalraj Frank Wilson, Keigo Takeda, Hiroki Kondo, Naohiro Shimizu, ''Kenji Ishikawa'', Makoto Sekine, Osamu Oda, and Masaru Hori.
--Growth of InN on GaN substrates at low temperatures by radical-enhanced metal-organic chemical vapor deposition.
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. 04P10
-647) Amalraj Frank Wilson, Dhasiyan Arun Kumar, Yi Lu, Shinnosuke Takai, Naohiro Shimizu, Osamu Oda, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Epitaxial growth of gan by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) effect of inn nucleation layer.
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. 04P13
-648) Yan Zhang, ''Kenji Ishikawa'', Miran Mozetic, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Modification mechanism of vacuum ultraviolet (VUV) on polyethylene terephthalate (PET) surfaces during plasma irradiation.
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. ? 
-649) Toshinari Ueyama, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, Manabu Iwata, Yoshinobu Ohya, and Hideo Sugai.
--Elucidation of electron behavior in dc-superposed synchronized pulsed plasmas.
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan.?
-650) Hironori Ito, Takayuki Ohta, ''Kenji Ishikawa'', Mineo Hiramatsu, and Masaru Hori.
--Novel soft ionization method for mass spectrometry using a carbon nanomaterial.
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. 02P16
-651) Tsuyoshi Kobayashi, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito.
--Inactivation of E. coli in liquids treated with neutral oxygen radicals.
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. 02P23
-652) Yuto Kitada, Toshiya Hayashi, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito.
--Inactivation of E.coli on raw horse meat irradiated oxygen radicals.
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. 02P24
-653) Yuta Tanaka, Hiroshi Hashizume, JunSeok Oh, ''Kenji Ishikawa'', Takayuki Ohta, Masaru Hori, and Masafumi Ito.
--Dependence of mold spores on oxidative stress through oxygen atoms.
---ISPlasma - 9th International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials/11th International Conference on Plasma-Nano Technology & Science (ISPlasma 2017/IC-PLANTS 2017), March 1-5, 2017. Chubu University, Aichi, Japan. ?
-654) Timothy Brubaker, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Dynamic ultraviolet Spectroscopic Analysis of plasma-activated liquids.
---The 22nd Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and The 9th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials April 5-7, 2017
-655) Yan Zhang, ''Kenji Ishikawa'', Miran Mozetic, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori .
--Surface modification of polyethylene terephthalate (PET) by vacuum ultraviolet (VUV) during O2 plasma irradiation.
---The 22nd Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and The 9th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials April 5-7, 2017
-656) Masakazu Takeno, Yuta Tanaka, Hiroshi Hashizume, Jun-Seok Oh, ''Kenji Ishikawa'', Takayuki Ohta, Masaru Hori, and Masafumi Ito.
--Verification of mold spores with oxygen radicals using fluorescence reagents.
---The 22nd Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and The 9th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials April 5-7, 2017
-657) ''Kenji Ishikawa'', K. Takeda, H. Hashizume, H. Tanaka, H. Kondo, T. Kondo, M. Sekine, and M. Hori.
--(INVITED) Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications.
---FRONTIERS 2017 – 12th Frontiers in Low Temperature Plasma Diagnostics Workshop, (Zlatibor, Serbia, April 23-27, 2017.).
-658) Timothy Ryan Brubaker, ''Kenji Ishikawa'', K. Takeda, H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, M. Hori.
--Time evolution of reactive oxygen nitrogen species in plasma-activated essential media and water.
---ICOPS 2017 - 44th Annual International Conference on Plasma Science (Atlantic City, New Jersey, USA. May 21-25, 2017). TU 1.5-1 10:00-10:15 May 23, (Tue)
-659) Masaru Hori, Hiromasa Tanaka, and ''Kenji Ishikawa''.
--(INVITED) Interactions of plasma activated medium with cells.
---International Forum on Functional Materials (IFFM 2017) and 7th International Symposium on Plasma Biosciences (ISPB2017) (June 27-29, 2017. Jeju, Korea). WeA2-1 10:30-11:00 Wed. June 28, 2017 Session: Plasma Skin 3
-660) ''Kenji Ishikawa'', Naoyuki Kurake, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi, Yuzuru Ikehara, and Masaru Hori.
--Programmed process for synthesis of calcium oxalate crystals in a bufferred glucose solution by irradiation with non-equilibrium atmospheric-pressure plasma.
---iPlasmaNano-VIII (University of Antwerp, Antwerp, Belgium. July 2-6, 2017). Poster
-661) ''Kenji Ishikawa'', Naoyuki Kurake, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi, Yuzuru Ikehara, and Masaru Hori.
--(INVITED) Synthesis of nano-bio-materials from organic solution by irradiation with cold atmospheric pressure plasmas.
---Seminar (Jozef Stefan Institute, Slovenia, July 6, 2017)
-662) Hironori Ito, Takayuki Ohta, ''Kenji Ishikawa'', and Masaru Hori.
--Analysis of biological samples by surface assisted laser desorption / ionization mass spectrometry using carbon nanowalls.
---IUMRS-ICAM2017 - International Union of Materials Research Societies / International Conference on Advanced Materials, (Kyoto, Japan. August 27-September 1, 2017). C5-P31-017 Poster 18:00-20:00 Aug. 31
-663) Shun Imai, Kenichi Naito, Hiroki Kondoondo, Hyungjun Cho, Hiroyuki Kano, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, Masaru Hori.
--Elucidation of electrochemical and polarization characteristics of pt-nanoparticles supported carbon nanowalls for fuel cell application.
---AEPSE2017 - The 11th Asian-European International Conference on Plasma Surface Engineering, (Jeju Island, Korea, September 11-15, 2017). 15:00-15:15 S7-OR01
-664) Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, ''Kenji Ishikawa'', Hiroshi Hasizume, Hiromasa Tanaka and Masaru Hori.
--A novel controlling method of differentiation of cultured cells on carbon nanowalls scaffold with an electric stimulation.
---AEPSE2017 - The 11th Asian-European International Conference on Plasma Surface Engineering, (Jeju Island, Korea, September 11-15, 2017), 16:00-16:15 S7-OR03
-665) Daiki Kanno, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Fullerenol-addition effects on plasma-activated medium.
---AEPSE2017 - The 11th Asian-European International Conference on Plasma Surface Engineering, (Jeju Island, Korea, September 11-15, 2017), 15:00-15:15 S9-OR13
-666) Yugo Hosoi, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Ambient control during preparations of the plasma-activated medium (PAM) for antitumor effects.
---AEPSE2017 - The 11th Asian-European International Conference on Plasma Surface Engineering, (Jeju Island, Korea, September 11-15, 2017), 10:45-11:00 S9-OR17
-667) Hironori Ito, Takayuki Ohta, ''Kenji Ishikawa'', Hiroki Kondo, Mineo Hiramatsu, Masaru Hori.
--Novel laser desorption / ionization method using carbon nanowalls for mass spectrometry.
---AEPSE2017 - The 11th Asian-European International Conference on Plasma Surface Engineering, (Jeju Island, Korea, September 11-15, 2017), 15:30-17:00 S7-PO23 Poster 
-668) Yuto Kitada, Toshiya Hayashi, ''Kenji Ishikawa'', Masaru Hori, Masafumi Ito.
--Visible light absorption spectrometry of aqueous extract of raw horse meat irradiated with oxygen radicals.
---AEPSE2017 - The 11th Asian-European International Conference on Plasma Surface Engineering, (Jeju Island, Korea, September 11-15, 2017), 11:15-11:30 S9-OR03
-669) Takayuki Ohta, Ryo Furuta, Naoyuki Kurake, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Masafumi Ito, and Masaru Hori.
--Observation of intracellular-molecular changes in plasma-irradiated cells using multiplex coherent anti-Stokes Raman scattering microscopy.
---AEPSE2017 - The 11th Asian-European International Conference on Plasma Surface Engineering, (Jeju Island, Korea, September 11-15, 2017), 11:30-11:45 S9-OR04
-670) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori.
--Analyses of cellular responses to Plasma-activated medium (PAM) and plasma-activated Ringer’s lactate solution.
---AEPSE2017 - The 11th Asian-European International Conference on Plasma Surface Engineering, (Jeju Island, Korea, September 11-15, 2017),
-671) Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Kurihara, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori.
--(INVITED) Thermal cyclic atomic-level etching of nitride films: a novel way for atomic-scale nanofabrication.
---ECS - 232nd Electrochemical Society (ECS) Meeting, Electronic Materials and Processing, October 1-6, 2017. MD, USA. 1084 
-672) Nobuyoshi Miyoshi, H. Kobayashi, Kazunori Shinoda, Masaru Kurihara, T. Watanabe, Y. Kouzuma, K. Yokokawa, K. Kawamura, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori.
--(INVITED) Selective atomic-level etching of nitride films using infrared annealing for next-generation semiconductor device manufacturing.
---Advanced Metallization Conference plus 2017, 27th Assian session, (Tokyo, Japan October 18-20, 2017)
-673) Daisuke Onoshima, Hiroshi Yukawa, Y. Hattori, ''Kenji Ishikawa'', Masaru Hori, and Yoshinobu Baba.
--Cell deposition and isolation with micropipette control over liquid interface motion in microfluidic channel.
---MicroTAS 2017 - The 21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, October 22-24, 2017. Georgia, USA. T073d 
-674) D. Kuboyama, Daisuke Onoshima, Naoto Kihara, Hiromasa Tanaka, T. Hase, Hiroshi Yukawa, ''Kenji Ishikawa'', Hidefumi Odaka, Y. Hasegawa, Masaru Hori, and Yoshinobu Baba.
--Leukocyte depletion and size-based enrichment of circulating tumor cells with pressure-sensing microfiltration system.
---MicroTAS 2017 - The 21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, October 22-24, 2017. Georgia, USA. W105e 
-675) ''Kenji Ishikawa'', Ryo Furuta, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori.
--Multiplex coherent anti-Stokes Raman scattering (CARS) observations of HeLa cells cultured in non-equilibrium atmospheric pressure-plasma-activated medium (PAM).
---AVS - 4th International Symposium and Exhibition, October 29-November 03, 2017. Tampa, Florida, USA. PB+BI+PS-TuM5 at 9:20 AM on Oct. 31, 2017.
-676) Yasufumi Miyoshi, Masanaga Fukasawa, Kazunori Nagahata, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, Tetsuya Tatsumi.
--Effect of temporal variation of discharge on photon-induced interface defects in pulse-modulated inductively coupled plasma.
---AVS - 4th International Symposium and Exhibition, October 29-November 03, 2017. Tampa, Florida, USA. PS+AS+SS-MoA-9 16:20-17:00 on Oct. 30, 2017
-677) Keigo Takeda, Naoyuki Kurake, ''Kenji Ishikawa'', Hiromasa Tanaka, Makoto Sekine, and Masaru Hori.
--Effects of gas-phase reactive species on generation of hydrogen peroxide and nitrite ion in plasma-activated medium.
---GEC - 70th Annual Gaseous Electronics Conference, November 6-10, 2017. Pittsburgh, PA, USA.  DT3.00002 8:30 AM–8:45 AM  Tuesday, November 7, 2017 Session DT3: Bio-Medical Plasma Chemistry 
-678) Zecheng Liu, Masato Imamura, ''Kenji Ishikawa'', Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, Osamu Oda, and Masaru Hori.
--Plasma-induced reactions and damage reduction in high temperature chlorine plasma etching of GaN.
---DPS - 39th International Symposium on Dry Process, (Tokyo Institute of Technology, Tokyo, Japan. November 16-17, 2017). Nov. 17, 2017
-679) Naoto Kihara, Hidefumi Odaka, Daiki Kuboyama, Daisuke Onoshima, Hiromasa Tanaka, Tetsunari Hase, Hiroshi Yukawa, ''Kenji Ishikawa'', Yoshinori Hasegawa, Yoshinobu Baba, and Masaru Hori.
--Fluoropolymer membrane filters for a cell filtration.
---DPS - 39th International Symposium on Dry Process, (Tokyo Institute of Technology, Tokyo, Japan. November 16-17, 2017). Nov. 16, 2017
-680) Toshio Hayashi, Makoto Sekine, ''Kenji Ishikawa'', and M. Hori.
--Dissociative properties of 1,1,1,2-tetrafluoroethane (HFC-134a) obtained using computational chemistry.
---DPS - 39th International Symposium on Dry Process, (Tokyo Institute of Technology, Tokyo, Japan. November 16-17, 2017). Poster P-3
-681) Masakazu Tomatsu, Mineo Hiramatsu, ''Kenji Ishikawa'', Hiroki Kondo, and Masaru Hori.
--Carbon nanowall (CNW) electrochemical H2O2 sensor.
---DPS - 39th International Symposium on Dry Process, (Tokyo Institute of Technology, Tokyo, Japan. November 16-17, 2017). Nov. 16, 2017
-682) Toshinari Ueyama, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Manabu Iwata, Yoshinobu Ohya, and Masary Hori.
--Behaviors of electrons and fluorocarbon radical in synchronized dc-imposed pulsed Plasma.
---DPS - 39th International Symposium on Dry Process, (Tokyo Institute of Technology, Tokyo, Japan. November 16-17, 2017). C-2
-683) Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori.
--Effects of residence time on dissociations in H2/CH4 plasma.
---DPS - 39th International Symposium on Dry Process, (Tokyo Institute of Technology, Tokyo, Japan. November 16-17, 2017). Poster P-52
-684) Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, and Masaru Hori.
--Characterization of arc plasma for syntheses of highly crystalline single-walled carbon nanotubes (CNT).
---DPS - 39th International Symposium on Dry Process, (Tokyo Institute of Technology, Tokyo, Japan. November 16-17, 2017). Poster P-24
-685) Masaru Hori, ''Kenji Ishikawa'', Hiroki Kondo.
--(INVITED) Effects of ion energies on damages and surface morphologies in a high-temperature Cl2 plasma etching of GaN.
---15th Aakasaki Research Center Symposium  “To the New Horizon of the Nitride Research”, November 15, 2017
-686) Toshio Hayashi, Makoto Sekine, ''Kenji Ishikawa'', and Masaru Hori.
--Electronic properties of HFC-245fa (1,1,1,3,3-pentafluoropropane) and dissociation paths obtained using computational chemistry.
---82nd IUVSTA Workshop on Plasma-based Atomic Layer Processes web and 10th EU-Japan Joint Symposium on Plasma Processing, December 4-7, 2017. Okinawa, Japan. P-1, 14:30-16:00 December 4, 2017
-687) Hajime Sakakita, Hiromasa Yamada, Tetsuji Shimizu, Masanori Fujiwara, Susumu Kato, Yutaka Fujiwara, Tomonori Hotta, Satoru Kiyama, Jaeho Kim, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, Sanae Ikehara, Nobuyuki Shimizu, Hayao Nakanishi, and Yuzuru Ikehara.
--Monitoring of the plasma flare at atmospheric pressure.
---82nd IUVSTA Workshop on Plasma-based Atomic Layer Processes web and 10th EU-Japan Joint Symposium on Plasma Processing, December 4-7, 2017. Okinawa, Japan. O-12, 18:00-18:15, December 6, 2017
-688) Masaru Izawa, Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Yoshihide Yamaguchi, Satoshi Sakai, ''Kenji Ishikawa'', and Masaru Hori.
--(INVITED) Rapid thermal cyclic ale for conformal removal of thin films.
---82nd IUVSTA Workshop on Plasma-based Atomic Layer Processes web and 10th EU-Japan Joint Symposium on Plasma Processing, December 4-7, 2017. Okinawa, Japan. I-24, 11:30-12:00, December 7, 2017
-689) ''Kenji Ishikawa'', Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Intracellular responses during incubation in plasma-activated cell culture medium (PAM).
---MRS-J - 27th Materials Research Society of Japan, Frontier of Nano-Materials Based on Advanced Plasma Technologies, December 5-7, 2017. Yokohama, Japan. 15:00 Dec. 5, 2017
-690) Soutarou Yamaoka, Takuya Tonami, Hiroshi Hashizume, Hiroki Kondo, ''Kenji Ishikawa'', Hiromasa Tanaka, and Masaru Hori.
--Morphological dynamics of supported lipid bilayers in plasma-activated liquids observed by high-speed atomic force microscopy.
---MRS-J - 27th Materials Research Society of Japan, Frontier of Nano-Materials Based on Advanced Plasma Technologies, December 5-7, 2017. Yokohama, Japan. 15:15 Dec. 5, 2017
-691) Atsushi Tanide, S. Nakamura, A. Horikoshi, S. Takatsuji, M. Kohno, K. Kinose, S. Nadahara, M. Nishikawa, Akinori Ebe, ''Kenji Ishikawa'', and Masaru Hori.
--Plasma-enhanced CVD growth of GaN films.
---MRS-J - 27th Materials Research Society of Japan, Frontier of Nano-Materials Based on Advanced Plasma Technologies, December 5-7, 2017. Yokohama, Japan. 14:00 Dec. 6, 2017
-692) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori.
--(INVITED) Plasma medical science and plasma-activated medium.
---MRS-J - 27th Materials Research Society of Japan, Frontier of Nano-Materials Based on Advanced Plasma Technologies, December 5-7, 2017. Yokohama, Japan. 14:30 Dec. 5, 2017
-693) ''Kenji Ishikawa'', Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--(INVITED) Dynamics of intracellular responses in HeLa cells cultured in non-equilibrium atmospheric pressure-plasma-treated medium (PAM).
---APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, (Taiwan December 15-17, 2017). S14‐01, 11:00‐11:30 Session 14: Plasmas in agricultural applications
-694) Timothy Ryan Brubaker, ''Kenji Ishikawa'', Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori.
--Quantitative pH imaging of plasma‐treated liquids using bromothymol blue.
---APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, (Taiwan December 15-17, 2017). O6‐02, 11:35‐11:50 Session 6: Fundamentals and applications of atmospheric‐pressure and multiphase plasmas
-695) Kaede Katsuno, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Effects of dielectric walls on atmospheric-pressure plasma discharges.
---APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, (Taiwan December 15-17, 2017). O12‐03, 10:00‐10:15 Session 12: Fundamentals and applications of atmospheric‐pressure and multiphase plasmas
-696) Yu-Chun Lin, ''Kenji Ishikawa'', Masaru Hori, and Meng-Jiy Wang.
--Investigation the effects of gas flow rate on atmospheric pressure plasma.
---APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, (Taiwan December 15-17, 2017). O18‐02, 14:45‐15:00 Session 18: Plasma diagnostics and modeling
-697) Yuto Kitada, Jun-Seok Oh, Toshiya Hayashi, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito.
--Comparison of aqueous extracts of raw horse meats irradiated with various radicals.
---APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, (Taiwan December 15-17, 2017). O5‐03, 11:20‐11:35 Session 5: Plasmas in agricultural applications
-698) Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, Makoto Sekine, Masaru Hori.
--Effect of ambient air on generation of reactive species in ac excited atmospheric pressure ar plasma jet.
---APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, (Taiwan December 15-17, 2017). P2-08
-699) Hironori Ito, Takayuki Ohta, ''Kenji Ishikawa'', Hiroki Kondo, Mineo Hiramatsu, and Masaru Hori.
--Mass spectra of peptides measured by surface assisted laser desorption/ionization mass spectrometry using carbon nanowalls.
---APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, (Taiwan December 15-17, 2017).


----
#include(MenuTab2,notitle)
#include(Footer,notitle)