Publication2 の変更点


#author("2023-12-20T15:36:06+09:00","default:ishikawa","ishikawa")
#author("2023-12-20T20:42:53+09:00","default:ishikawa","ishikawa")
Back to [[Publication]]

[2022]

-[262] &color(white,red){OPEN}; &color(white,green){C};   High efficiency of ionization and fragmentation-less surface-assisted laser desorption/ionization mass spectroscopy by high-quality carbon nanowalls
--Nanomaterials 13 (1), 63 pp. 1-14 (December 23, 2022). [[(DOI):https://doi.org/10.3390/nano13010063]] 
---Ryusei Sakai, Hiroki Kondo, ''Kenji Ishikawa'', Takayuki Ohta, Mineo Hiramatsu, Hiromasa Tanaka, Masaru Hori

-[261] &color(white,red){OPEN};   Indoor floor heel mark removal using spark discharges and pressurized airflow
--Coatings 12 (12), 1938 pp. 1-12 (December 9, 2022). [[(DOI):https://doi.org/10.3390/coatings12121938]]
---Yoshihiro Sakamoto, Takayoshi Tsutsumi, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroshi Hashizume, and Masaru Hori

-[260] &color(white,brown){PM};   Impact of microsecond-pulsed plasma-activated water on papaya seed germination and seedling growth
--Chinese Physics B 31 (12), 128201 pp. 1-12 (December 1, 2022). [[(DOI):https://doi.org/10.1088/1674-1056/ac904e]]
---Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, ''Kenji Ishikawa'', Masuru Hori, and Seong Ling Yap

-[259] &color(white,green){BN};    Carbon Layer Formation on Hexagonal Boron Nitride by Plasma Processing in Hydroquinone Aqueous Solution
--ACS Applied Materials and Interfaces 14 (47), pp. 53413–53420 (November 17, 2022) [[(DOI):https://doi.org/10.1021/acsami.2c15951]]
---Kenichi Inoue, Noritaka Sakakibara, Taku Goto, Tsuyohito Ito, Yoshiki Shimizu, Yukiya Hakuta, ''Kenji Ishikawa'', Masaru Hori, and Kazuo Terashima

-[258] &color(white,red){OPEN}; &color(white,blue){Etch};    Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma
--Scientific Reports 12, 20394 pp. 1-13 (November 27, 2022). [[(DOI):https://doi.org/10.1038/s41598-022-24949-1]]
---Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori

-[257] &color(white,blue){FC}; &color(black,cyan){QC};    Dissociation channels of c-C4F8 to C2F4 in reactive plasma
--Japanese Journal of Applied Physics 61, 106006 pp. 1-6 (2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac895e]]
---Toshio Hayashi, ''Kenji Ishikawa'', Hiroshi Iwayama, Makoto Sekine, and Masaru Hori

-[256] &color(black,yellow){VUV};    Wide range applications of process plasma diagnostics using vacuum ultraviolet absorption spectroscopy
--Reviews of Modern Plasma Physics 6, 13 pp. 1-13 (November, 2022) [[(DOI):https://doi.org/10.1007/s41614-022-00075-3]]
---Keigo Takeda, ''Kenji Ishikawa'', and Masaru Hori

-[255] &color(white,green){C};    Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis
--Vacuum 205, 111351 pp. 1-7 (November, 2022). [[(DOI):https://doi.org/10.1016/j.vacuum.2022.111351]]
---Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[254] &color(white,green){C};    In-liquid plasma synthesis of iron-nitrogen-doped carbon nanoflakes with highly catalytic activity
--Plasma Processes and Polymers 19 (8), 2100203 pp. 1-9 (August, 2022) [[(DOI):http://doi.org/10.1002/ppap.202100203]]
---Hiroki Kondo, Ryo Hamaji, Tomoki Amano, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[253] &color(white,blue){Etch};    Study of optical emission spectroscopy using modified Boltzmann plot in dual frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O2/C4F8 plasma etching process
-- Physical Chemistry Chemical Physics 24 (22), pp. 13883-13896 (June 14, 2022). [[(DOI):https://doi.org/10.1039/D2CP00289B]]
---Bibhuti Bhusan Sahu, Kazuya Nakane, ''Kenji Ishikawa'', Makoto Sekine, Takayoshi Tsutsumi, Taku Gohira, Yoshinobu Ohya, Noriyasu Ohno, and Masaru Hori

-[252] Low-temperature reduction of SnO2 by floating wire-assisted medium-pressure H2/Ar plasma
--Plasma Processes and Polymers 19 (6), 2100209 pp. 1-13 (June, 2022) [[(DOI):https://doi.org/10.1002/ppap.202100209]]
---Thi-Thuy-Nga Nguyen, Minoru Sasaki, Shih-Nan Hsiao, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[251] &color(white,brown){PM};   Cytotoxicity of plasma-irradiated lactate solution produced under atmospheric airtight conditions and generation of the methyl amino group
--Applied Physics Express 15 (5), 056001 pp. 1-5 (April 25, 2022) [[(DOI):https://doi.org/10.35848/1882-0786/ac6360]]
---Daiki Ito, Naoyuki Iwata, ''Kenji Ishikawa'', Kae Nakamura, Hiroshi Hashizume, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

-[250] &color(white,red){OPEN}; &color(white,brown){PM};   Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells
--AIP advances 12, 025216 (pp. 1-6) (2022) [[(DOI):https://doi.org/10.1063/5.0075530]]
---Tomonori Ichikawa, ''Kenji Ishikawa'', Hiromasa Tanaka, Naohiro Shimizu, and Masaru Hori

-[249] &color(white,blue){Etch};    Plasma-assisted, thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride
--Journal of Vacuum Science and Technology B 40, 022201 (pp.1-11) (February 4, 2022). [[(DOI):https://doi.org/10.1116/6.0001660]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Yuko Hanaoka, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori

-[248] &color(white,red){OPEN};   Perspectives on functional nitrogen science and plasma-based in situ functionalization
--Japanese Journal of Applied Physics 61 (SA), SA0802 pp. 1-11 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac3558]]
---''Kenji Ishikawa''

-[247] &color(white,red){OPEN};   Functional nitrogen science based on plasma processing: Quantum devices, photocatalysts and activation of plant defense and immune systems
--Japanese Journal of Applied Physics 61 (SA), SA0805 pp. 1-25 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac25dc]]
---Toshiro Kaneko, Hiromitsu Kato, Hideaki Yamada, Muneaki Yamamoto, Tomoko Yoshida, Pankaj Attri, Kazunori Koga, Tomoyuki Murakami, Kazuyuki Kuchitsu, Sugihiro Ando, Yasuhiro Nishikawa, Kentaro Tomita, Ryo Ono, Tsuyohito Ito, Atsushi M. Ito, Koji Eriguchi, Tomohiro Nozaki, Takayoshi Tsutsumi, and ''Kenji Ishikawa''

-[246] &color(white,red){OPEN};   Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care
--Japanese Journal of Applied Physics 61 (SA), SA0808 pp 1-19 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac1c3d]]
---Shinya Kumagai, Chikako Nishigori, Tetsuya Takeuchi, Peter Bruggeman, Keisuke Takashima, Hideki Takahashi, Toshiro Kaneko, Eun Ha Choi, Makoto Kambara, Kazuo Nakazato, and ''Kenji Ishikawa''

-[245] &color(white,brown){PM};   Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma
--Japanese Journal of Applied Physics 61 (SA), SA1007 pp. 1-7 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac2037]]
---Hiromasa Tanaka, Shogo Matsumura, ''Kenji Ishikawa'', Hiroshi Hashizume, Masafumi Ito, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Mikako Ito, Kinji Ohno, Yasumasa Okazaki, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori 

[2021]

-[244] &color(white,blue){Etch}; &color(white,red){OPEN};   On the Etching Mechanism of Highly Hydrogenated SiN Films by CF4/D2 Plasma: Comparison with CF4/H2
--Coatings 11 (12), 1535 pp. 1-14 (December 14, 2021) [[(DOI):https://doi.org/10.3390/coatings11121535]]
---Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[243] &color(white,blue){Etch};   Effects of hydrogen content in films on etching of LPCVD and PECVD SiN films using CF4/H2 plasma at different substrate temperatures
--Plasma Processes and Polymers 18 (11), 2100078 pp. 1-10 (December 15, 2021). [[(DOI):https://doi.org/10.1002/ppap.202100078]]
---Shih-Nan Hsiao, Nicolay Britun, Thu-Thiy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[242] &color(white,red){OPEN}; &color(white,brown){PM};    Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified
--Scientific Reports 11, 18488 pp.1-10 (September 16, 2021). [[(DOI):https://doi.org/10.1038/s41598-021-98020-w]]
---Hiromasa Tanaka, Yugo Hosoi, ''Kenji Ishikawa'', Jun Yoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

-[241] &color(white,brown){PM};   Plasma-activated Ringer's lactate solution inhibits TCA cycle and glycolysis in HeLa cells
--Plasma Processes and Polymers 18 (10), 2100056 (pp.1-11) (October, 2021). [[(DOI):https://doi.org/10.1002/ppap.202100056]]
--Plasma Processes and Polymers 18 (10), 2100056 pp.1-11 (October, 2021). [[(DOI):https://doi.org/10.1002/ppap.202100056]]
---Hiromasa Tanaka, Shogo Maeda, Kae Nakamura, Hiroshi Hashizume, ''Kenji Ishikawa'', Mikako Ito, Kinji Ohno, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

-[240] Novel Method of Rebound Tailing Pulse for Water Dissociation
--IEEE Transactions on Plasma Science 49 (9), pp. 2893-2900 (September, 2021). [[(DOI):https://doi.org/10.1109/TPS.2021.3102639]]
---Naohiro Shimizu, Ranjit R. Borude, Reiko Tanaka, ''Kenji Ishikawa'', Osamu Oda, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue, and Masaru Hori

-[239] &color(white,red){OPEN}; &color(white,brown){PM};   Brain cell proliferation in adult rats after irradiation with non-equilibrium atmospheric pressure plasma
--Applied Physics Express 14 (6), 067002 pp. 1-6 (2021). [[(DOI):https://doi.org/10.35848/1882-0786/ac03c1]]
---Masanori Yamato, Yasuhisa Tamura, Hiromasa Tanaka, ''Kenji Ishikawa'', Yuzuru Ikehara, Masaru Hori, and Yosky Kataoka

-[238] &color(white,red){OPEN}; &color(white,brown){PM};   Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer’s lactate
--Redox Biology 43, 101989 pp.1-12 (July, 2021) [[(DOI):https://doi.org/10.1016/j.redox.2021.101989]] (Impact factor 9.986)
---Li Jiang, Hao Zheng, Qinying Lyu, Shotaro Hayashi, Kotaro Sato, Yoshitaka, Sekido, Kae Nakamura, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, and Shinya Toyokuni	

-[237] &color(white,brown){PM};   Cancer treatments using low-temperature plasma
--Current Medicinal Chemistry 28 (41), pp. 8549 - 8558 (June 29, 2021) [[(DOI):https://doi.org/10.2174/0929867328666210629121731]] e-pub ahead
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

-[236] &color(white,brown){APP};   Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma
--Plasma Sources Science and Technology 30 (4), 04LT03 pp. 1-7 (April 28, 2020) [[(DOI):https://doi.org/10.1088/1361-6595/abbbd4]] 
---Yang Liu, ''Kenji Ishikawa'', Camelia Miron, Hiroshi Hashizume, Hiromasa Tanaka, and Masaru Hori

-[235] &color(white,red){OPEN};   Impact of seed color and storage time on the radish seed germination and sprout growth in plasma agriculture
--Scientific Reports 11, 2539 pp. 1-10 (Janurary 28, 2021). [[(DOI):https://doi.org/10.1038/s41598-021-81175-x]]
---Pankaj Attri, ''Kenji Ishikawa'', Takamasa Okumura, Kazunori Koga, Masaharu Shiratani, and Vida Mildaziene

-[234] &color(white,blue){Etch};   Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H2 plasma
--Applied Surface Science 542, 148550 (pp.1-8) (March 15, 2021). [[(DOI):https://doi.org/10.1016/j.apsusc.2020.148550]]
---Shih-Nan Hsiao, Kazuya Nakane, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[233] &color(white,blue){Etch};   Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar
--Applied Surface Science 541, 148439 (pp.1-8) (March 1, 2021). [[(DOI):https://doi.org/10.1016/j.apsusc.2020.148439]]
--Applied Surface Science 541, 148439 pp. 1-8 (March 1, 2021). [[(DOI):https://doi.org/10.1016/j.apsusc.2020.148439]]
---Shih-Nan Hsiao, ''Kenji Ishikawa'', Toshio Hayashi, Jiwei Ni, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori

-[232] &color(white,red){Free};   Growth inhibition effect on Trypanosoma brucei gambiense by the oxidative stress supplied from low-temperature plasma at atmospheric pressure
--Japanese Journal of Applied Physics 60 (2), 020601 (pp.1-12) (February 1, 2021). [[(DOI):https://doi.org/10.35848/1347-4065/abd464]] in Selected Topics in Applied Physics
--Japanese Journal of Applied Physics 60 (2), 020601 pp.1-12 (February 1, 2021). [[(DOI):https://doi.org/10.35848/1347-4065/abd464]] in Selected Topics in Applied Physics
---Naoaki Yokoyama, Thillaiampalam Sivakumar, Sanae Ikehara, Yoshihiro Akimoto, Takashi Yamaguchi, Ken Wakai, ''Kenji Ishikawa'', Masaru Hori, Tetsuji Shimizu, Hajime Sakakita, and Yuzuru Ikehara

-[231] &color(white,red){OPEN};   Effects of carbon nanowalls (CNWs) substrates on soft ionization of low-molecular-weight organic compounds in surface-assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS)
-- Nanomaterials 11 (2), 262 (pp. 1-11) (January 20, 2021). [[(DOI):https://doi.org/10.3390/nano11020262]]
-- Nanomaterials 11 (2), 262 pp. 1-11 (January 20, 2021). [[(DOI):https://doi.org/10.3390/nano11020262]]
---Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, ''Kenji Ishikawa'', Naohiro Shimizu, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori

-[230] &color(white,brown){PA};   Improvement of yield and grain quality by periodic cold-plasma treatment with rice plants in paddy field
--Plasma Processes and Polymers 18 (1), e2000181 (pp. 1-11) (January 14, 2021) [[(DOI):https://doi.org/10.1002/ppap.202000181]] 
--Plasma Processes and Polymers 18 (1), e2000181 pp. 1-11 (January 14, 2021) [[(DOI):https://doi.org/10.1002/ppap.202000181]] 
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

-[230'] &color(white,brown){PA};   Inside Front Cover: Plasma Process. Polym. 1/2021
--Plasma Processes and Polymers 18 (1), 2170002 (p. 1) (January 14, 2021) [[(DOI):https://doi.org/10.1002/ppap.202170002]] 
---Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, ''Kenji Ishikawa'', Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

-[229] Reduction in photon-induced interface defects by optimal pulse repetition rate in the pulse-modulated inductively coupled plasma
--Japanese Journal of Applied Physics 60 (1), 010906 (pp.1-6) (January 1, 2021). [[(DOI):https://doi.org/10.35848/1347-4065/abd113]]
--Japanese Journal of Applied Physics 60 (1), 010906 pp.1-6 (January 1, 2021). [[(DOI):https://doi.org/10.35848/1347-4065/abd113]]
---Yasufumi Miyoshi, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi

-[228] &color(white,red){Free};   Insights into normothermic treatment with direct irradiation of atmospheric pressure plasma for biological applications
--Japanese Journal of Applied Physics 60, 010502 (pp.1-12) (January, 2021).  [[(DOI):https://doi.org/10.35848/1347-4065/abcbd2]] in Selected Topics in Applied Physics
--Japanese Journal of Applied Physics 60, 010502 pp.1-12 (January, 2021).  [[(DOI):https://doi.org/10.35848/1347-4065/abcbd2]] in Selected Topics in Applied Physics
---Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, and ''Kenji Ishikawa''

-[227] &color(white,red){Free};   Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma
--Japanese Journal of Applied Physics 60, 010503 (pp. 1-15) (January, 2021). [[(DOI):https://doi.org/10.35848/1347-4065/abcbd1]] in Selected Topics in Applied Physics
--Japanese Journal of Applied Physics 60, 010503 pp. 1-15 (January, 2021). [[(DOI):https://doi.org/10.35848/1347-4065/abcbd1]] in Selected Topics in Applied Physics
---Masafumi Ito, Hiroshi Hashizume,  Jun-Seok Oh, ''Kenji Ishikawa'', Takayuki Ohta, and Masaru Hori


[2020]

-[226] &color(white,green){C};   Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature
--Carbon 170, pp. 93-99 (December, 2020) [[(DOI):https://doi.org/10.1016/j.carbon.2020.07.052]] 
---Hirotsugu Sugiura, Hiroki Kondo, Kimitaka Higuchi, Shigeo Arai, Ryo Hamaji, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[225] &color(black,pink){GaN};   Influence of chamber pressure on the crystal quality of homo-epitaxial GaN grown by radical-enhanced MOCVD (REMOCVD)
--Journal of Crystal Growth 549, 125863 (pp. 1-5) (November 1, 2020) [[(DOI):https://doi.org/10.1016/j.jcrysgro.2020.125863]]
--Journal of Crystal Growth 549, 125863 pp. 1-5 (November 1, 2020) [[(DOI):https://doi.org/10.1016/j.jcrysgro.2020.125863]]
---Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, ''Kenji Ishikawa'', and Masaru Hori

-[224] &color(white,brown){APP};   Formation of spherical Sn particles by reducing SnO2 film in floating-wire-assisted atmospheric-pressure H2/Ar plasma
--Scientific Reports 10, 17770 (pp. 1-12) (October 20, 2020) [[(DOI):https://doi.org/10.1038%2Fs41598-020-74663-z]] 
--Scientific Reports 10, 17770 pp. 1-12 (October 20, 2020) [[(DOI):https://doi.org/10.1038%2Fs41598-020-74663-z]] 
---Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[223] &color(black,pink){GaN};   Roles of atomic nitrogen/hydrogen in GaN film growth by chemically-assisted sputtering with dual plasma sources
-[223] &color(white,red){OPEN}; &color(black,pink){GaN};   Roles of atomic nitrogen/hydrogen in GaN film growth by chemically-assisted sputtering with dual plasma sources
--ACS Omega 5 (41), pp. 26776-26785 (October 8, 2020) [[(DOI):https://doi.org/10.1021/acsomega.0c03865]] 
---Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Takahiro Kimura, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, ''Kenji Ishikawa'', Osamu Oda, and Masaru Hori

-[222] &color(white,brown){PM};   Adjusted multiple gases in the plasma flow induces differential antitumor potentials of plasma-activated liquid
--Plasma Processes and Polymers 17 (10), 1900259 (pp.1-14) (October 6, 2020). [[(DOI):https://doi.org/10.1002/ppap.201900259]] 
--Plasma Processes and Polymers 17 (10), 1900259 pp.1-14 (October 6, 2020). [[(DOI):https://doi.org/10.1002/ppap.201900259]] 
---Kae Nakamura, Nobuhisa Yoshikawa, Masato Yoshihara, Yoshiki Ikeda, Akihiro Higashida, Akihiro Niwa, Takahiro Jindo, Hiromasa Tanaka, ''Kenji Ishikawa'', Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa, and Hiroaki Kajiyama

-[222'] Inside Back Cover Picture: Plasma Process. Polym. 10/2020
--Plasma Processes and Polymers 17 (10), 2070026 (p.1) (October 6, 2020). [[(DOI):https://doi.org/10.1002/ppap.202070026]] 
--Plasma Processes and Polymers 17 (10), 2070026 p.1 (October 6, 2020). [[(DOI):https://doi.org/10.1002/ppap.202070026]] 
---Kae Nakamura, Nobuhisa Yoshikawa, Masato Yoshihara, Yoshiki Ikeda, Akihiro Higashida, Akihiro Niwa, Takahiro Jindo, Hiromasa Tanaka, ''Kenji Ishikawa'', Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa, and Hiroaki Kajiyama

-[221] &color(white,brown){PM};   Laser-induced-plasma-activated medium enables killing of HeLa cells
--Applied Physics Express 13 (10), 106001 (pp. 1-5) (October 1, 2020) [[(DOI):https://doi.org/10.35848/1882-0786/abb68c]] 
--Applied Physics Express 13 (10), 106001 pp. 1-5 (October 1, 2020) [[(DOI):https://doi.org/10.35848/1882-0786/abb68c]] 
---Yukihiro Kurokawa, Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, and Masaru Hori

-[220] &color(white,red){OPEN}; &color(white,brown){PM};   Small size gold nanoparticles enhance apoptosis-induced by cold atmospheric plasma via depletion of intracellular GSH and modification of oxidative stress
--Cell Death Discovery 6, 83 (pp. 1-12) (September 10, 2020)  [[(DOI):https://doi.org/10.1038/s41420-020-00314-x]]
--Cell Death Discovery 6, 83 pp. 1-12 (September 10, 2020)  [[(DOI):https://doi.org/10.1038/s41420-020-00314-x]]
---Mati Ur Rehman, Paras Jawaid, Qing Zhao, Masaki Misawa, ''Kenji Ishikawa'', Masaru Hori, Tadamichi Shimizu, Jun-ichi Saitoh, Kyo Noguchi, and Takashi Kondo 

-[219] &color(white,red){OPEN};    Plasma agriculture from laboratory to farm: A review
--Processes (mdpi) 8 (8), 1002 (pp. 1-21) (August 18, 2020) [[(DOI):https://doi.org/10.3390/pr8081002]]
--Processes (mdpi) 8 (8), 1002 pp. 1-21 (August 18, 2020) [[(DOI):https://doi.org/10.3390/pr8081002]]
---Pankaj Attri, ''Kenji Ishikawa'', Takamasa Okumura, Kazunori Koga, and Masaharu Shiratani

-[218] &color(white,brown){APP};   Steering of surface discharges on Through-Glass-Vias (TGVs) combined with high-density nonequilibrium atmospheric pressure plasma generation
--Journal of Physics D: Applied Physics 53 (43), 534302 (pp. 1-11) (July 31, 2020).  [[(DOI):https://doi.org/10.1088/1361-6463/aba1ad]]
--Journal of Physics D: Applied Physics 53 (43), 534302 pp. 1-11 (July 31, 2020).  [[(DOI):https://doi.org/10.1088/1361-6463/aba1ad]]
---Yoichiro Sato, Kaede Katsuno, Hidefumi Odaka, Nobuhiko Imajyo, ''Kenji Ishikawa'', and Masaru Hori

-[217] &color(white,red){OPEN}; &color(white,brown){APP}; Numerical analysis of coaxial dielectric barrier helium discharges: Three-stage mode transitions and plasma bullet propagation
--Applied Physics Express 13 (8), 086001 (pp.1-5) (2020).  [[(DOI):https://doi.org/10.35848/1882-0786/aba3f2]]
--Applied Physics Express 13 (8), 086001 pp.1-5 (2020).  [[(DOI):https://doi.org/10.35848/1882-0786/aba3f2]]
---Yosuke Sato, ''Kenji Ishikawa'', Takayoshi Tsutsumi, and Masaru Hori 

-[216] &color(black,pink){GaN}; In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals
--Journal of Vacuum Science and Technology A 38 (4), 042602 (pp. 1-11) (2020).  [[(DOI):https://doi.org/10.1116/6.0000124]] 
--Journal of Vacuum Science and Technology A 38 (4), 042602 pp. 1-11 (2020).  [[(DOI):https://doi.org/10.1116/6.0000124]] 
---Masaki Hasegawa, Takayoshi Tsutsumi, Atsushi Tanide, Shohei Nakamura, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[215] &color(white,brown){PM}; Non-thermal plasma–activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism
--Archives of Biochemistry and Biophysics 688, 108414 (pp. 1-9) (July 30, 2020).  [[(DOI):https://doi.org/10.1016/j.abb.2020.108414]]
--Archives of Biochemistry and Biophysics 688, 108414 pp. 1-9 (July 30, 2020).  [[(DOI):https://doi.org/10.1016/j.abb.2020.108414]]
---''Kenji Ishikawa'', Yugo Hosoi, Hiromasa Tanaka, Li Jiang, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[214] In-plane modification of hexagonal boron nitride particles via plasma in solution
--Applied Physics Express 13 (6), 066001 (pp. 1-3) (May 20, 2020).  [[(DOI):https://doi.org/10.35848/1882-0786/ab916c]] 
--Applied Physics Express 13 (6), 066001 pp. 1-3 (May 20, 2020).  [[(DOI):https://doi.org/10.35848/1882-0786/ab916c]] 
---Tsuyohito Ito, Taku Goto, Kenichi Inoue, ''Kenji Ishikawa'', Hiroki Kondo, Masaru Hori, Yoshiki Shimizu, Yukiya Hakuta, and Kazuo Terashima

-[213] &color(black,cyan){QC}; Electronic properties and primarily dissociation channels of fluoromethane compounds
--Japanese Journal of Applied Physics 59 (SJ), SJJE02 (pp. 1-12) (June 1, 2020).  [[(DOI):https://doi.org/10.35848/1347-4065/ab7e3f]] Selected in the Spotlights 2020
--Japanese Journal of Applied Physics 59 (SJ), SJJE02 pp. 1-12 (June 1, 2020).  [[(DOI):https://doi.org/10.35848/1347-4065/ab7e3f]] Selected in the Spotlights 2020
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[212] &color(white,brown){APP}; Characterization of a microsecond pulsed non-equilibrium atmospheric pressure Ar plasma using laser scattering and optical emission spectroscopy
--Plasma Science and Technology 22 (6), 065404 (pp. 1-8) (June 1, 2020).  [[(DOI):https://doi.org/10.1088/2058-6272/ab84e2]] 
--Plasma Science and Technology 22 (6), 065404 pp. 1-8 (June 1, 2020).  [[(DOI):https://doi.org/10.1088/2058-6272/ab84e2]] 
---FengDong Jia, Yong Wu, Qi Min, MaoGen Su, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Masaru Hori, and ZhiPing Zhong

-[211] &color(white,green){C}; Synthesis of isolated carbon nanowalls via high-voltage nanosecond pulses in conjunction with CH4/H2 plasma enhanced chemical vapor deposition
--Carbon 161, pp. 403-412 (May, 2020).  [[(DOI):https://doi.org/10.1016/j.carbon.2020.01.064]] 
---Tomonori Ichikawa, Naohiro Shimizu, ''Kenji Ishikawa'', Mineo Hiramatsu, and Masaru Hori

-[210] &color(white,red){OPEN}; &color(white,brown){APP}; Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: Effects of applied voltage polarity
--Journal of Physics D: Applied Physics 53 (26), 265204 (pp. 1-14) (April 30, 2020).  [[(DOI):https://doi.org/10.1088/1361-6463/ab7df0]] 
--Journal of Physics D: Applied Physics 53 (26), 265204 pp. 1-14 (April 30, 2020).  [[(DOI):https://doi.org/10.1088/1361-6463/ab7df0]] 
---Yosuke Sato, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Akio Ui, Masato Akita, Shotaro Oka, and Masaru Hori

-[209] &color(white,red){ESR}; Electron spin resonance as a tool to monitor the influence of novel processing technologies on food properties
--Trends in Food Science and Technology 100, pp. 77-87 (April 17, 2020). [[(DOI):https://doi.org/10.1016/j.tifs.2020.03.032]] 
---Francisco J. Barba, Shahin Roohinejad, ''Kenji Ishikawa'', Sze Ying Leong, Alaa El-Din A Bekhit, Jorge A. Saraiva, and Nikolai Lebovka

-[208] &color(white,green){C}; In-liquid plasma synthesis of nanographene with a mixture of methanol and 1-butanol
--ChemNanoMat 6 (4), pp. 604-609 (April 1, 2020).  [[(DOI):https://doi.org/10.1002/cnma.201900676]]
---Atsushi Ando, ''Kenji Ishikawa'', Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[208'] Cover Picture In‐Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1‐Butanol
--ChemNanoMat 6 (4), p. 481 (April 1, 2020).  [[(DOI):https://doi.org/10.1002/cnma.202000117]]
---Atsushi Ando, ''Kenji Ishikawa'', Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[207] &color(white,green){C}; Gas-phase and film analysis of hydrogenated amorphous carbon films: effect of ion bombardment energy flux on sp2 carbon structures
--Diamond & Related Materials 104, 107651 (pp. 1-10) (April, 2020) [[(DOI):https://doi.org/10.1016/j.diamond.2019.107651]]
--Diamond & Related Materials 104, 107651 pp. 1-10 (April, 2020) [[(DOI):https://doi.org/10.1016/j.diamond.2019.107651]]
---Hirotsugu Sugiura, Yasuyuki Ohashi, ''Kenji Ishikawa'', Hiroki Kondo, Toshiaki Kato, Toshiro Kaneko, Keigo Takeda, Takayoshi Tsutsumi, Toshio Hayashi, Makoto Sekine, and Masaru Hori

-[206] &color(white,blue){Etch}; Interaction of oxygen with polystyrene and polyethylene polymer films: a mechanistic study
--Journal of Applied Physics 127 (2), 023303 (pp. 1-9) (January 10, 2020) [[(DOI):https://doi.org/10.1063/1.5127863]]
--Journal of Applied Physics 127 (2), 023303 pp. 1-9 (January 10, 2020) [[(DOI):https://doi.org/10.1063/1.5127863]]
---Yusuke Fukunaga, Roberto C. Longo, Peter Ventzek, Barton Lane, Alok Ranjan, Gyeong S. Hwang, Greg Hartmann, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori

[2019]

-[205] &color(black,pink){GaN};    Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate
--Journal of Vacuum Science and Technology B 37 (6), 061215 (pp. 1-6) (December 3, 2019)    [[(DOI):https://doi.org/10.1116/1.5114831]]
--Journal of Vacuum Science and Technology B 37 (6), 061215 pp. 1-6 (December 3, 2019)    [[(DOI):https://doi.org/10.1116/1.5114831]]
---Yasuhiro Isobe, Takayuki Sakai, Kyoichi Suguro, Naoto Miyashita, Frank Wilson Amalraj, Hiroki Kondo, ''Kenji Ishikawa'', Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori 

-[204] &color(white,red){OPEN};    &color(white,brown){PM};    Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions
--Scientific Reports 9, 13657 (pp. 1-12) (September 20, 2019)    [[(DOI):https://doi.org/10.1038/s41598-019-50136-w]]
--Scientific Reports 9, 13657 pp. 1-12 (September 20, 2019)    [[(DOI):https://doi.org/10.1038/s41598-019-50136-w]]
---Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, ''Kenji Ishikawa'', Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

-[203] &color(white,blue){Etch};    Rapid thermal-cyclic atomic-layer etching of titanium nitride in CHF3/O2 downstream plasma
--Journal of Physics D: Applied Physics 52 (47), 475106 (pp. 1-9) (September 9, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/ab3cf3]]
--Journal of Physics D: Applied Physics 52 (47), 475106 pp. 1-9 (September 9, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/ab3cf3]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori

-[202]    Review of methods for the mitigation of plasma-induced damage to low-dielectric-constant interlayer dielectrics used for semiconductor logic device interconnects
--Plasma Processes and Polymers 16 (9), 1900039 (pp. 1-20) (September 5, 2019)    [[(DOI):https://doi.org/10.1002/ppap.201900039]]
--Plasma Processes and Polymers 16 (9), 1900039 pp. 1-20 (September 5, 2019)    [[(DOI):https://doi.org/10.1002/ppap.201900039]]
---Hideshi Miyajima, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[201] &color(white,brown){PM};    Simultaneous achievement of antimicrobial property and plant growth promotion using plasma activated benzoic compound solution
--Plasma Processes and Polymers 16 (8), 1900023 (pp. 1-6) (August 6, 2019)    [[(DOI):https://doi.org/10.1002/ppap.201900023]]
--Plasma Processes and Polymers 16 (8), 1900023 pp. 1-6 (August 6, 2019)    [[(DOI):https://doi.org/10.1002/ppap.201900023]]
---Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito

-[200] &color(white,blue){Etch};    Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma for thermal-cyclic atomic layer etching of silicon nitride
--Journal of Vacuum Science and Technology A 37 (5), 051002 (pp. 1-8) (August 1, 2019)    [[(DOI):https://doi.org/10.1116/1.5111663]]
--Journal of Vacuum Science and Technology A 37 (5), 051002 pp. 1-8 (August 1, 2019)    [[(DOI):https://doi.org/10.1116/1.5111663]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Tomonori Saeki, ''Kenji Ishikawa'', and Masaru Hori

-[199] &color(white,red){OPEN};    &color(white,green){C};    Atmospheric pressure plasma-treated carbon nanowalls surface-assisted laser desorption/ionization time-of-flight mass spectrometry (CNW-SALDI-MS)
--C Journal of Carbon Research (mdpi) 5 (3), 40 (pp. 1-10) (July 18, 2019)    [[(DOI):https://doi.org/10.3390/c5030040]]
--C Journal of Carbon Research (mdpi) 5 (3), 40 pp. 1-10 (July 18, 2019)    [[(DOI):https://doi.org/10.3390/c5030040]]
---Takayuki Ohta, Hironori Ito, ''Kenji Ishikawa'', Hiroki Kondo, Mineo Hiramatsu, and Masaru Hori

-[198] &color(white,brown){PM};    Gene expression of osteoblast-like cells on carbon nanowall as scaffolds during incubation with electrical stimulation
--ACS Applied Bio Materials 2 (7), pp. 2698-2702 (July 15, 2019)    [[(DOI):https://doi.org/10.1021/acsabm.9b00178]]
---Tomonori Ichikawa, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

-[197']    Cover Picture: Plasma Process. Polym. 6/2019
--Plasma Process and Polymers 16 (6), 1970013 (pp. 1) (May 31, 2019)    [[(DOI):https://doi.org/10.1002/ppap.201970013]]
--Plasma Process and Polymers 16 (6), 1970013 p. 1 (May 31, 2019)    [[(DOI):https://doi.org/10.1002/ppap.201970013]]
---Yan Zhang, ''Kenji Ishikawa'', Miran Mozetič, Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, and Masaru Hori

-[197] &color(white,blue){Etch};    Surface modifications of polyethylene terephthalate (PET) by VUV and radicals in oxygen and hydrogen plasmas
--Plasma Processes and Polymers 16 (6), 1800175 (pp. 1-11) (May 31, 2019)    [[(DOI):https://doi.org/10.1002/ppap.201800175]]
--Plasma Processes and Polymers 16 (6), 1800175 pp. 1-11 (May 31, 2019)    [[(DOI):https://doi.org/10.1002/ppap.201800175]]
---Yan Zhang, ''Kenji Ishikawa'', Miran Mozetič, Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, and Masaru Hori

-[196] Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass
--Journal of Materials Science: Materials in Electronics (JMSE) 30 (11), pp. 10183–10190 (June 2019)    [[(DOI):https://doi.org/10.1007/s10854-019-01354-5]]
---Yoichiro Sato, Nobuhiko Imajyo, ''Kenji Ishikawa'', Rao Tummala, and Masaru Hori

-[195] &color(white,red){Free};    Progress and perspectives in dry processes for leading-edge manufacturing of devices: Toward intelligent processes and virtual product development
--Japanese Journal of Applied Physics 58 (SE), SE0804 (pp. 1-21) (May 30, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/ab163b]]
--Japanese Journal of Applied Physics 58 (SE), SE0804 pp. 1-21 (May 30, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/ab163b]]
---Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, and ''Kenji Ishikawa''

-[194] &color(white,red){Free};    Progress and perspectives in dry processes for emerging multidisciplinary applications: How can we improve our use of dry processes?
--Japanese Journal of Applied Physics 58 (SE), SE0803 (pp. 1-17) (May 30, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/ab163a]]
--Japanese Journal of Applied Physics 58 (SE), SE0803 pp. 1-17 (May 30, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/ab163a]]
---Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, and ''Kenji Ishikawa''

-[193] &color(white,red){Free};    Progress and perspectives in dry processes for nanoscale feature fabrication: Fine pattern transfer and high-aspect-ratio feature formation
--Japanese Journal of Applied Physics 58 (SE), SE0802 (pp. 1-24) (May 30, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/ab1638]]
--Japanese Journal of Applied Physics 58 (SE), SE0802 pp. 1-24 (May 30, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/ab1638]]
---Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, and ''Kenji Ishikawa''

-[192] &color(white,red){Free};    Rethinking surface reactions in nanoscale dry processes toward atomic precision and beyond: A physics and chemistry perspective
--Japanese Journal of Applied Physics 58 (SE), SE0801 (pp. 1-14) (May 30, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/ab163e]]
--Japanese Journal of Applied Physics 58 (SE), SE0801 pp. 1-14 (May 30, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/ab163e]]
---''Kenji Ishikawa'', Tatsuo Ishijima, Tatsuru Shirafuji, Silvia Armini, Emilie Despiau-Pujo, Richard A. Gottscho, Keren J. Kanarik, Gert J. Leusink, Nathan Marchack, Takahide Murayama, Yasuhiro Morikawa, Gottlieb S. Oehrlein, Sangwuk Park, Hisataka Hayashi, and Keizo Kinoshita

-[191] &color(white,brown){PM};    Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy
--Applied Physics Express 12 (6), 066001 (pp. 1-5) (May 8, 2019)    [[(DOI):https://doi.org/10.7567/1882-0786/ab1a58]]
--Applied Physics Express 12 (6), 066001 pp. 1-5 (May 8, 2019)    [[(DOI):https://doi.org/10.7567/1882-0786/ab1a58]]
---Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, ''Kenji Ishikawa'', Hiromasa Tanaka, and Masaru Hori

-[190] &color(white,red){Free};    &color(black,cyan){QC};    Electronic properties and primarily dissociation channels of hydrofluoroethane compounds
--Japanese Journal of Applied Physics 58 (SE), SEEF01 (pp. 1-18) (May 1, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/ab09ca]]
--Japanese Journal of Applied Physics 58 (SE), SEEF01 pp. 1-18 (May 1, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/ab09ca]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[189] &color(black,pink){GaN};    Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition
--Journal of Vacuum Science and Technology B 37 (3), 031201 (pp. 1-7) (May 19, 2019)    [[(DOI):https://doi.org/10.1116/1.5083970]]
--Journal of Vacuum Science and Technology B 37 (3), 031201 pp. 1-7 (May 19, 2019)    [[(DOI):https://doi.org/10.1116/1.5083970]]
---Yasuhiro Isobe, Takayuki Sakai, Naoharu Sugiyama, Ichiro Mizushima, Kyoichi Suguro, Naoto Miyashita, Yi Lu, Frank Wilson Amalraj, Dhasiyan Arun Kumar, Nobuyuki Ikarashi, Hiroki Kondo, ''Kenji Ishikawa'', Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori

-[188] A 65-nm CMOS Fully-Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome
--IEEE Transactions on Biomedical Circuits and Systems (TBioCAS) 13 (2), pp. 470-479 (April, 2019)    [[(DOI):https://doi.org/10.1109/TBCAS.2018.2882472]]
---Kiichi Niitsu, Taiki Nakanishi, Shunya Murakami, Maya Matsunaga, Atsuki Kobayashi, Karim Nissar Mohammad, Jun Ito, Naoya Ozawa, Tetsunari Hase, Hiromasa Tanaka, Mitsuo Sato, Hiroki Kondo, ''Kenji Ishikawa'', Hidefumi Odaka, Yoshinori Hasegawa, Masaru Hori, and Kazuo Nakazato

-[187] &color(black,pink){GaN};    Effects of BCl3 addition to Cl2 gas on etching characteristics of GaN at high temperature
--Journal of Vacuum Science and Technology B 37 (2), 021209 (pp. 1-6) (March 12, 2019)    [[(DOI):https://doi.org/10.1116/1.5082345]]
--Journal of Vacuum Science and Technology B 37 (2), 021209 pp. 1-6 (March 12, 2019)    [[(DOI):https://doi.org/10.1116/1.5082345]]
---Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shohei Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, ''Kenji Ishikawa'', Makoto Sekine and Masaru Hori

-[186] &color(white,red){OPEN};    Chemical bond structures of porous SiOC Film (k<2.4) for resistance of plasma induced damages
--Micro and Nano Engineering 3, pp. 1-6 (March 7, 2019)    [[(DOI):https://doi.org/10.1016/j.mne.2019.02.005]]
---Hideshi Miyajima, Hideaki Masuda, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[185] &color(white,green){C};    Single-step, low-temperature formations and in-situ binding of tin oxide nanoparticles to graphene nanosheets by in-liquid plasma for potential applications in gas sensing and Li-ion batteries
--ACS Applied Nano Materials 2, pp. 649-654 (February 22, 2019)    [[(DOI):https://doi.org/10.1021/acsanm.8b02201]]
---Ranjit R. Borude, Hirotsugu Sugiura, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

-[184] &color(white,green){C};    Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol
--Journal of Physics D: Applied Physics 52 (17), 175301 (pp. 1-9) (February 18, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/ab03c4]]
--Journal of Physics D: Applied Physics 52 (17), 175301 pp. 1-9 (February 18, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/ab03c4]]
---Ranjit R. Borude, Hirotsugu Sugiura, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Hiroki Kondo, and Masaru Hori

-[183] &color(white,brown){PM};    Nonthermal plasma-activated medium (PAM) modified metabolomic profiles in glycolysis of U251SP glioblastoma
--Archives of Biochemistry and Biophysics 662, pp.83-92 (February 15, 2019)    [[(DOI):https://doi.org/10.1016/j.abb.2018.12.001]]
--Archives of Biochemistry and Biophysics 662, pp. 83-92 (February 15, 2019)    [[(DOI):https://doi.org/10.1016/j.abb.2018.12.001]]
---Naoyuki Kurake, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[182] &color(white,brown){PM};    Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources
--Journal of Physics D:Applied Physics 52 (16), 165202 (pp. 1-13) (February 15, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/aaff44]]
--Journal of Physics D:Applied Physics 52 (16), 165202 pp. 1-13 (February 15, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/aaff44]]
---Keigo Takeda, Hiromasa Yamada, ''Kenji Ishikawa'', Hajime Sakakita, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Yoshihiro Akimoto, Yosky Kataoka, Naoaki Yokoyama, Yuzuru Ikehara, and Masaru Hori

-[181] &color(white,brown){APP};    Remotely floating wire-assisted generation of high-density atmospheric pressure plasma and SF6-added plasma etching of quartz glass
--Journal of Applied Physics 125 (6), 063304 (pp. 1-11) (February 14, 2019)    [[(DOI):https://doi.org/10.1063/1.5081875]]
--Journal of Applied Physics 125 (6), 063304 pp. 1-11 (February 14, 2019)    [[(DOI):https://doi.org/10.1063/1.5081875]]
---Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[180] &color(white,brown){APP};    Liquid dynamics in response to an impinging low-temperature plasma jet
--Journal of Physics D: Applied Physics 52 (7), 075203 (pp. 1-11) (February 13, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/aaf460]] 
--Journal of Physics D: Applied Physics 52 (7), 075203 pp. 1-11 (February 13, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/aaf460]] 
---Timothy R. Brubaker, ''Kenji Ishikawa'', Hiroki Kondo, Takayoshi Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, and Masaru Hori

-[179] &color(white,green){C};    Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma enhanced chemical vapor deposition
--Japanese Journal of Applied Physics 58 (3), 030912 (pp. 1-4) (February 13, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/aafd49]]
--Japanese Journal of Applied Physics 58 (3), 030912 pp. 1-4 (February 13, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/aafd49]]
---Hirotsugu Sugiura, Lingyun Jia, Yasuyuki Ohashi, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoro Sekine, and Masaru Hori

-[178] &color(white,green){C};    &color(white,brown){Bio};    Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowall scaffolds
--Applied Physics Express 12 (2), 025006 (pp. 1-4) (February 1, 2019)    [[(DOI):https://doi.org/10.7567/1882-0786/aaf469]]
--Applied Physics Express 12 (2), 025006 pp. 1-4 (February 1, 2019)    [[(DOI):https://doi.org/10.7567/1882-0786/aaf469]]
---Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori

-[177] &color(white,red){OPEN};    &color(white,green){C};    Effects of ion bombardment energy flux on chemical compositions and structures of hydrogenated amorphous carbon films grown by a radical-injection plasma-enhanced chemical vapor deposition
--C (MDPI) 5 (1), 8 (pp. 1-12) (January 24, 2019)    [[(DOI):https://doi.org/10.3390/c5010008]]
--C (MDPI) 5 (1), 8 pp. 1-12 (January 24, 2019)    [[(DOI):https://doi.org/10.3390/c5010008]]
---Hirotsugu Sugiura, Hiroki Kondo, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori 

-[176] &color(white,red){OPEN};    &color(white,green){C};    Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition
--C (MDPI) 5 (1), 7 (pp. 1-11) (January 24, 2019)    [[(DOI):https://doi.org/10.3390/c5010007]]
--C (MDPI) 5 (1), 7 pp. 1-11 (January 24, 2019)    [[(DOI):https://doi.org/10.3390/c5010007]]
---Masakazu Tomatsu, Mineo Hiramatsu, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori

-[175]    Adhesion enhancement and amine reduction using film redeposited at the interface of a stack of plasma-enhanced CVD dielectrics for Cu/low-k interconnects
--Japanese Journal of Applied Physics 58 (2), 020908 (pp. 1-5) (January 23, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/aafb5b]]
--Japanese Journal of Applied Physics 58 (2), 020908 pp. 1-5 (January 23, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/aafb5b]]
---Hideshi Miyajima, Kei Watanabe, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[174] &color(black,pink){GaN};    Hetero-epitaxial growth of GaN film by the combination of magnetron sputtering with Ar / Cl2 gas mixtures and separate supply of nitrogen precursors from a high density radical source
--Japanese Journal of Applied Physics 58 (SA), SAAF04 (pp. 1-6) (January 21, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/aaeb39]]
--Japanese Journal of Applied Physics 58 (SA), SAAF04 pp. 1-6 (January 21, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/aaeb39]]
---Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, ''Kenji Ishikawa'', and Masaru Hori

-[173]    Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system
--Japanese Journal of Applied Physics 58 (2), 020906 (pp. 1-5) (January 21, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/aaf92a]]
--Japanese Journal of Applied Physics 58 (2), 020906 pp. 1-5 (January 21, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/aaf92a]]
---Yusuke Fukunaga, Takayoshi Tsutsumi, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[172] &color(white,green){C};    Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt-nanoparticle-supported carbon nanowalls
--Journal of Physics D: Applied Physics 52 (10), 105503 (pp. 1-8) (January 11, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/aaf8e0]]
--Journal of Physics D: Applied Physics 52 (10), 105503 pp. 1-8 (January 11, 2019)    [[(DOI):https://doi.org/10.1088/1361-6463/aaf8e0]]
---Shun Imai, Kenichi Naito, Hiroki Kondo, Hyung Jun Cho, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[171] &color(white,green){C};    Effect of deposition parameter and post atmospheric pressure plasma treatment of surface and bulk properties of magnetron-sputter-deposited amorphous carbon films
--Japanese Journal of Applied Physics 58 (SA), SAAC07 (pp. 1-9) (January 7, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/aaec87]]
--Japanese Journal of Applied Physics 58 (SA), SAAC07 pp. 1-9 (January 7, 2019)    [[(DOI):https://doi.org/10.7567/1347-4065/aaec87]]
---Ranjit R. Borude, Hirotsugu Sugiura, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Hiroki Kondo, Jeon Geon Han, and Masaru Hori

-[170]    Batch Fabrication of Nano-Gap Electrode Array Using Photo-Patterning and Resist UV-Curing
--IEEJ Transactions on Sensors and Micromachines 139 (1), pp.27-28 (電気学会論文誌) (January 1, 2019)    [[(DOI):https://doi.org/10.1541/ieejsmas.139.27]]
---Hai Minh Nguyen, Mako Kumeuchi, Shinya Kumagai, ''Kenji Ishikawa'', Masaru Hori, and Minoru Sasaki

-[169] &color(white,green){C};    Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H2 plasma-enhanced chemical vapor deposition
--Applied Physics Express 12 (1), 015001 (pp. 1-5) (January 1, 2019)    [[(DOI):https://doi.org/10.7567/1882-0786/aaf0ab]]
--Applied Physics Express 12 (1), 015001 pp. 1-5 (January 1, 2019)    [[(DOI):https://doi.org/10.7567/1882-0786/aaf0ab]]
---Shun Imai, Hiroki Kondo, Hyungjun Cho, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[168] &color(white,brown){PM};    Molecular mechanisms of non-thermal plasma-induced effects in cancer cells
--Biological Chemistry 400 (1), pp. 87-91 (January 1, 2019)    [[(DOI):https://doi.org/10.1515/hsz-2018-0199]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

[2018]

-[167] &color(white,red){OPEN};    &color(black,pink){GaN};    Effect of N2/H2 plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) 
--AIP advance 8 (11), 115116 (pp. 1-5) (November 29, 2018)    [[(DOI):https://doi.org/10.1063/1.5050819]]
--AIP advance 8 (11), 115116 pp. 1-5 (November 29, 2018)    [[(DOI):https://doi.org/10.1063/1.5050819]]
---Frank Wilson Amalraj, Arun Kumar Dhasiyan, Yi Lu, Nahiro Shimizu, Osamu Oda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Nobuyuki Ikarashi, and Masaru Hori 

-[166] &color(black,pink){GaN};    Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH4/H2 at 300-500°C
--Vacuum 156, pp. 219-223 (October, 2018)    [[(DOI):https://doi.org/10.1016/j.vacuum.2018.07.040]]
---Takashi Kako, Zecheng Liu, ''Kenji Ishikawa'', Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

-[165] &color(white,red){OPEN};    &color(white,brown){PM};    New hopes for plasma-based cancer treatment
--Plasma 1 (1), pp. 150-155 (September, 2018) Special issue on Plasma Medicine    [[(DOI):https://doi.org/10.3390/plasma1010014]] ([[mdpi:http://www.mdpi.com/journal/plasma/special_issues/plasma_medicine]])
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

-[164] &color(black,pink){GaN};    &color(white,blue){Etch};    Reaction mechanisms between chlorine plasma and a spin-on type polymer mask for high temperature plasma etching
--Japanese Journal of Applied Physics 57 (10), 106502 (pp. 1-8) (August 31, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.106502]]
--Japanese Journal of Applied Physics 57 (10), 106502 pp. 1-8 (August 31, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.106502]]
--- Yan Zhang, Masato Imamura, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori 

-[163] &color(white,brown){PM};    Cytotoxic effects of plasma-irradiated fullerenol
--Journal of Physics D: Applied Physics 51 (37), 375401 (pp. 1-7) (August 8, 2018)    [[(DOI):https://doi.org/10.1088/1361-6463/aad510]]
--Journal of Physics D: Applied Physics 51 (37), 375401 pp. 1-7 (August 8, 2018)    [[(DOI):https://doi.org/10.1088/1361-6463/aad510]]
---Daiki Kanno, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroshi Hashizume, and Masaru Hori

-[162] Cell Deposition Microchip with Micropipette Control over Liquid Interface Motion
--Cell Medicine 10, pp. 1-5 (May 30, 2018)    [[(DOI):https://doi.org/10.1177/2155179017733152]], [[Publisher:http://journals.sagepub.com/doi/abs/10.1177/2155179017733152]]
---Daisuke Onoshima, Yuya Hattori, Hiroshi Yukawa, ''Kenji Ishikawa'', Masaru Hori, and Yoshinobu Baba

-[161] &color(white,red){OPEN};    &color(white,blue){Etch};    Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?
--Japanese Journal of Applied Physics 57 (6S2), 06JA01 (pp. 1-18) (May 25, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JA01]]
--Japanese Journal of Applied Physics 57 (6S2), 06JA01 pp. 1-18 (May 25, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JA01]]
---''Kenji Ishikawa'', Kazuhiro Karahashi, Tatsuo Ishijima, Sung Il Cho, Simon Elliott, Dennis Hausmann, Dan Mocuta, Aaron Wilson, and Keizo Kinoshita

-[160] &color(white,red){OPEN};    &color(white,brown){Bio};    &color(white,blue){FC};    Low-autofluorescence fluoropolymer membrane filters for cell filtration
--Japanese Journal of Applied Physics 57 (6S2), 06JF03 (pp. 1-7) (May 25, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JF03]] Selected in the Spotlights 2018 
--Japanese Journal of Applied Physics 57 (6S2), 06JF03 pp. 1-7 (May 25, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JF03]] Selected in the Spotlights 2018 
---Naoto Kihara, Daiki Kuboyama, Daisuke Onoshima, ''Kenji Ishikawa'', Ryohei Koguchi, Hiromasa Tanaka, Naoya Ozawa, Tetsunari Hase, Hiroshi Yukawa, Hidefumi Odaka, Yoshinori Hasegawa, Yoshinobu Baba, and Masaru Hori

-[159] &color(white,green){C};    Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition
--Japanese Journal of Applied Physics 57 (6S2), 06JE03 (pp. 1-4) (May 25, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JE03]]
--Japanese Journal of Applied Physics 57 (6S2), 06JE03 pp. 1-4 (May 25, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JE03]]
---Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, and Masaru Hori

-[158] &color(black,pink){GaN};    Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma
--Japanese Journal of Applied Physics 57 (6S2), 06JD01 (pp. 1-6) (May 18, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JD01]]
--Japanese Journal of Applied Physics 57 (6S2), 06JD01 pp. 1-6 (May 18, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JD01]]
---Zecheng Liu, ''Kenji Ishikawa'', Masato Imamura, Takayoshi Tsutsumi, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

-[157] &color(white,green){C};    Impact of helium pressures in arc plasma synthesis on crystallinity of single-walled carbon nanotubes (SWNTs)
--Japanese Journal of Applied Physics 57 (6S2), 06JF01 (pp. 1-4) (April 16, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JF01]]
--Japanese Journal of Applied Physics 57 (6S2), 06JF01 pp. 1-4 (April 16, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JF01]]
---Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, and Masaru Hori

-[156] &color(white,blue){FC};    &color(black,cyan){QC};    Dissociative properties of 1,1,1,2-tetrafluoroethane (HFC-134a) obtained using computational chemistry
--Japanese Journal of Applied Physics 57 (6S2), 06JC02 (pp. 1-3) (April 16, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JC02]]
-[156] &color(white,blue){FC}; &color(black,cyan){QC};    Dissociative properties of 1,1,1,2-tetrafluoroethane (HFC-134a) obtained using computational chemistry
--Japanese Journal of Applied Physics 57 (6S2), 06JC02 pp. 1-3 (April 16, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.06JC02]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[155] &color(white,green){C};    Nanographene synthesized in triple-phase plasmas as a highly durable support of catalysts for polymer electrolyte fuel cells
--Japanese Journal of Applied Physics 57 (4), 045101 (pp. 1-8) (March 15, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.045101]]
--Japanese Journal of Applied Physics 57 (4), 045101 pp. 1-8 (March 15, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.045101]]
---Tomoki Amano, Hiroki Kondo, Keigo Takeda, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori 

-[154] &color(white,brown){PM};    Reduced HeLa cell viability in methionine-containing cell culture medium irradiated with microwave-excited atmospheric-pressure plasma
--Plasma Process and Polymers 15 (3), 1700200 (pp. 1-9) (March 15, 2018)    [[(DOI):https://doi.org/10.1002/ppap.201700200]]
--Plasma Process and Polymers 15 (3), 1700200 pp. 1-9 (March 15, 2018)    [[(DOI):https://doi.org/10.1002/ppap.201700200]]
---Yohei Takahashi, Yusuke Taki, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, ''Kenji Ishikawa'', and Masaru Hori

-[153] &color(white,brown){PM};    Glioblastoma cell lines display different sensitivities to plasma-activated medium
--IEEE Transactions on Radiation and Plasma Medical Sciences 2 (2), pp. 99-102 (March 1, 2018)    [[(DOI):https://doi.org/10.1109/TRPMS.2017.2721973]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Shinichi Akiyama, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori 

-[152] &color(white,green){C};    Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid-plasma of ethanol and iron phthalocyanine mixture
--Japanese Journal of Applied Physics 57 (4), 040303 (pp. 1-4) (February 21, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.040303]]
--Japanese Journal of Applied Physics 57 (4), 040303 pp. 1-4 (February 21, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.040303]]
---Tomoki Amano, Hiroki Kondo, Keigo Takeda, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

-[151] &color(white,brown){PM};    Cytotoxicity on cancer HeLa cells sensitively against normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas
--Journal of Physics D: Applied Physics 51 (11), 115401 (pp. 1-9) (February 21, 2018)    [[(DOI):https://doi.org/10.1088/1361-6463/aaab09]]
--Journal of Physics D: Applied Physics 51 (11), 115401 pp. 1-9 (February 21, 2018)    [[(DOI):https://doi.org/10.1088/1361-6463/aaab09]]
---Yohei Takahashi, Yusuke Taki, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, ''Kenji Ishikawa'', and Masaru Hori

-[150] &color(white,brown){PM};    Free radical generation by non-equilibrium atmospheric pressure plasma in alcohol-water mixtures. An EPR-spin trapping study
--Journal of Physics D: Applied Physics 51 (9), 095202 (pp. 1-7) (February 14, 2018)    [[(DOI):https://doi.org/10.1088/1361-6463/aaa885]]
--Journal of Physics D: Applied Physics 51 (9), 095202 pp. 1-7 (February 14, 2018)    [[(DOI):https://doi.org/10.1088/1361-6463/aaa885]]
---Hidefumi Uchiyama, ''Kenji Ishikawa'', Qing-Li Zhao, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Murali Krishna, Tetsuo Ishijima, Yuji Matsuya, Masaru Hori, Kyo Noguchi, and Takashi Kondo

-[149] &color(white,brown){Bio};    &color(white,blue){FC};    Facile fabrication of a polyethylene terephthalate (PET) membrane filter with precise alignments of through holes
--Japanese Journal of Applied Physics 57 (3), 037001 (pp. 1-6) (March, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.037001]] 
--Japanese Journal of Applied Physics 57 (3), 037001 pp. 1-6 (March, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.037001]] 
---Naoto Kihara, Hidefumi Odaka, Daiki Kuboyama, Daisuke Onoshima, ''Kenji Ishikawa'', Yoshinobu Baba, and Masaru Hori

-[148] &color(white,green){C};    Nanographene syntheses employing in-liquid plasmas with alcohols or hydrocarbons 
--Japanese Journal of Applied Physics 57 (2), 026201 (pp. 1-6) (January 15, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.026201]]
--Japanese Journal of Applied Physics 57 (2), 026201 pp. 1-6 (January 15, 2018)    [[(DOI):https://doi.org/10.7567/JJAP.57.026201]]
---Atsushi Ando, ''Kenji Ishikawa'', Hiroki Kondo, Takayoshi Tsutumi, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

-[147] &color(white,blue){FC};    &color(black,cyan){QC};    Electro impact ionization of perfluoro-methyl-vinyl ether C3F6O
--Plasma Sources Science and Technology 27 (1), 015009 (pp. 1-8) (January 8, 2018)    [[(DOI):https://doi.org/10.1088/1361-6595/aaa22e]]
--Plasma Sources Science and Technology 27 (1), 015009 pp. 1-8 (January 8, 2018)    [[(DOI):https://doi.org/10.1088/1361-6595/aaa22e]]
---Yusuke Kondo, ''Kenji Ishikawa'', Toshio Hayashi, Makoto Sekine, and Masaru Hori

-[146] &color(white,brown){PM};    Plasma-activated medium (PAM) kills human cancer-initiating cells
--Pathology International 68 (1), pp. 23–30 (January, 2017)    [[(DOI):https://doi.org/10.1111/pin.12617]]
---Jun-ichiro Ikeda, Hiromasa Tanaka, ''Kenji Ishikawa'', Sakakita Hajime, Yuzuru Ikehara, and Masaru Hori

-[145] &color(white,red){Free};    &color(white,brown){APP};    Selective production of ROS and RNS in the plasma treated water by using a nonthermal high-frequency plasma jet
--Japanese Journal of Applied Physics 57 (1), 0102B4 (pp. 1-6) (January, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.57.0102B4]] Selected Topics in Applied Physics (STAP) 
---Giichiro Uchida, Kosuke Takenaka, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Yuichi Setsuhara

-[144] &color(white,green){C};    Rapid growth of micron-sized graphene flakes by in-liquid plasma employing iron phthalocyanine-added ethanol
--Applied Physics Express 11 (1), 015102 (pp. 1-4) (January, 2018)    [[(DOI):https://doi.org/10.7567/APEX.11.015102]]
--Applied Physics Express 11 (1), 015102 pp. 1-4 (January, 2018)    [[(DOI):https://doi.org/10.7567/APEX.11.015102]]
---Tomoki Amano, Hiroki Kondo, ''Kenji Ishikawa'', Takayoshi Tsutsumi, Keigo Takeda, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

[2017]

-[143] &color(white,brown){APP};    Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy
--Journal of Applied Physics 122 (21), 213301 (pp. 1-7) (December 7, 2017)    [[(DOI):https://doi.org/10.1063/1.4999256]].
--Journal of Applied Physics 122 (21), 213301 pp. 1-7 (December 7, 2017)    [[(DOI):https://doi.org/10.1063/1.4999256]].
---Timothy R. Brubaker, ''Kenji Ishikawa'', Keigo Takeda, Jun-Seok Oh, Hiroki Kondo, Hiroshi Hashizumi, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilén, and Masaru Hori.

-[142] &color(white,brown){PM};    Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation in apoptotic cells cultivated in plasma-activated medium
--Plasma Processes and Polymers 14, e1700123 (pp. 1-6) (November 16, 2017)    [[(DOI):https://doi.org/10.1002/ppap.201700123]]
--Plasma Processes and Polymers 14, 1700123 pp. 1-6 (November 16, 2017)    [[(DOI):https://doi.org/10.1002/ppap.201700123]]
---Ryo Furuta, Naoyuki Kurake, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[141] &color(white,blue){FC};    Dependence of absolute photon flux on infrared absorbance alteration and surface roughness on photoresist polymers irradiated by vacuum ultraviolet emitted from HBr plasma
--Japanese Journal of Applied Physics 56 (12), 126503 (pp. 1-6) (November 15, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.126503]]
--Japanese Journal of Applied Physics 56 (12), 126503 pp. 1-6 (November 15, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.126503]]
---Yan Zhang, Takuya Takeuchi, ''Kenji Ishikawa'', Keigo Takeda, Toshio Hayashi, Makoto Sekine, Masaru Hori

-[140] &color(white,brown){APP};    Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucose solution by irradiation with non-equilibrium atmospheric pressure plasma
--Journal of Applied Physics 122 (14), 143301 (pp. 1-8) (October 11, 2017)    [[(DOI):https://doi.org/10.1063/1.5006598]] 
--Journal of Applied Physics 122 (14), 143301 pp. 1-8 (October 11, 2017)    [[(DOI):https://doi.org/10.1063/1.5006598]] 
---Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yuzuru Ikehara, and Masaru Hori

-[139] &color(white,blue){Etch};    Surface roughening of photoresist after change of the photon/radical and ion treatment sequence
--Journal of Vacuum Science and Technology A 35 (6), 060606 (pp. 1-6) (September 29, 2017)    [[(DOI):https://doi.org/10.1116/1.4994218]]
--Journal of Vacuum Science and Technology A 35 (6), 060606 pp. 1-6 (September 29, 2017)    [[(DOI):https://doi.org/10.1116/1.4994218]]
---Yan Zhang, Takuya Takeuchi, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[138] &color(white,red){OPEN};    &color(white,brown){PM};    Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation
--Scientific Reports 7, 11659 (pp. 1-12) (September 15, 2017)    [[(DOI):https://doi.org/10.1038/s41598-017-11877-8]]
--Scientific Reports 7, 11659 pp. 1-12 (September 15, 2017)    [[(DOI):https://doi.org/10.1038/s41598-017-11877-8]]
---Moniruzzaman Rohan, Qing-Li Zhao, Paras Jawaid, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, Kei Tomihara, Noguchi Kyo, Takashi Kondo, and Makoto Noguchi 

-[137] &color(white,green){C};    High-durability catalytic electrode composed of Pt nanoparticles-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition
--Journal of Physics D: Applied Physics 50 (40), 40LT01 (pp. 1-4) (September 11, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa8131]]
--Journal of Physics D: Applied Physics 50 (40), 40LT01 pp. 1-4 (September 11, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa8131]]
---Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, Masafumi Ito, and Masaru Hori

-[136] &color(black,pink){GaN};    Thermally enhanced formation of photon-induced damage on GaN in Cl2 plasma
--Japanese Journal of Applied Physics 56 (8), 096501 (pp. 1-7) (August 29, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.096501]] Selected in Spotlights 2017 
--Japanese Journal of Applied Physics 56 (8), 096501 pp. 1-7 (August 29, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.096501]] Selected in Spotlights 2017 
---Zecheng Liu, Atsuki Asano, Masato Imamura, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

-[P15] &color(white,blue){FC};    Thermal cyclic atomic-level etching of nitride films: a novel way for atomic-scale nanofabrication
--ECS Transactions 80 (3), pp. 3-14 (August 17, 2017)    [[(DOI):https://doi.org/10.1149/08003.0003ecst]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Kurihara, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori

-[135] &color(white,brown){PM};    Lipid droplets exhaustion with caspases activation in HeLa cells cultured in plasma-activated medium (PAM) observed by multiplex coherent anti-Stokes Raman scattering (CARS) microscopy
--Biointerphases 12 (3), 031006 (pp. 1-8) (August 3, 2017)    [[(DOI):https://doi.org/10.1116/1.4997170]]
--Biointerphases 12 (3), 031006 pp. 1-8 (August 3, 2017)    [[(DOI):https://doi.org/10.1116/1.4997170]]
---Ryo Furuta, Naoyuki Kurake, ''Kenji Ishikawa'', Keigo Takeda, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

-[134] &color(black,pink){GaN};    Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation
--Applied Physics Express 10 (8), 086502 (pp. 1-4) (July 24, 2017)    [[(DOI):https://doi.org/10.7567/APEX.10.086502]]
--Applied Physics Express 10 (8), 086502 pp. 1-4 (July 24, 2017)    [[(DOI):https://doi.org/10.7567/APEX.10.086502]]
---Zecheng Liu, Masato Imamura, Atsuki Asano, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

-[133] &color(white,brown){PM};    State of the art in medical applications using non-thermal atmospheric pressure plasma
--Reviews on Modern Plasma Physics 1, 3 (pp. 1-89) (July 3, 2017)    [[(DOI):https://doi.org/10.1007/s41614-017-0004-3]]
--Reviews on Modern Plasma Physics 1, 3 pp. 1-89 (July 3, 2017)    [[(DOI):https://doi.org/10.1007/s41614-017-0004-3]]
---Hiromasa Tanaka, ''Kenji Ishikawa'', Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Hans-Robert Metelmann, and Masaru Hori

-[132] &color(white,blue){FC};    Temperature dependence of protection layer formation on organic trench sidewall in H2/N2 plasma etching with control of substrate temperature
--Japanese Journal of Applied Physics 56 (7), 076202 (pp. 1-6) (June 14, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.076202]]
--Japanese Journal of Applied Physics 56 (7), 076202 pp. 1-6 (June 14, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.076202]]
---Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[131] &color(white,brown){PM};    Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy
--Physical Chemistry Chemical Physics 19 (21), pp. 13438-13442 (June 7, 2017)    [[(DOI):https://doi.org/10.1039/C7CP00489C]]
---Ryo Furuta, Naoyuki Kurake, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

-[130] &color(white,blue){Etch};    Progress and prospects in nanoscale dry processes - How can we control atomic layer reactions?
--Japanese Journal of Applied Physics 56 (6S2), 06HA02 (pp. 1-13) (June 1, 2017) Progress Review of DPS special issue    [[(DOI):https://doi.org/10.7567/JJAP.56.06HA02]] 
--Japanese Journal of Applied Physics 56 (6S2), 06HA02 pp. 1-13 (June 1, 2017) Progress Review of DPS special issue    [[(DOI):https://doi.org/10.7567/JJAP.56.06HA02]] 
---''Kenji Ishikawa'', Kazuhiro Karahashi, Takanori Ichiki, Jane P. Chang, Steven M. George, W. M. M. Kessels, Hae June Lee, Stefen Tinck, Jung Hwan Um, and Keizo Kinoshita

-[129] Hydrogen peroxide sensor based on carbon nanowalls grown using plasma enhanced chemical vapor deposition
--Japanese Journal of Applied Physics 56 (6S2), 06HF03 (pp. 1-6) (June 1, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.06HF03]]
--Japanese Journal of Applied Physics 56 (6S2), 06HF03 pp. 1-6 (June 1, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.06HF03]]
---Masakazu Tomatsu, Mineo Hiramatsu, John S. Foord, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Keigo Takeda, and Masaru Hori

-[128] &color(white,blue){FC};    Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon based plasmas
--Japanese Journal of Applied Physics 56 (6S2), 06HC03 (pp. 1-5) (May 31, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.06HC03]]
--Japanese Journal of Applied Physics 56 (6S2), 06HC03 pp. 1-5 (May 31, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.06HC03]]
---Toshinari Ueyama, Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Manabu Iwata, Yoshinobu Ohya, Hideo Sugai, and Masaru Hori

-[127]    Growth of InN films by the radical-enhanced metal organic chemical vapor deposition (REMOCVD) at a low temperature of 200 °C
--Japanese Journal of Applied Physics 56 (6S2), 06HE08 (pp. 1-6) (May 24, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.06HE08]]
--Japanese Journal of Applied Physics 56 (6S2), 06HE08 pp. 1-6 (May 24, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.06HE08]]
---Shinnosuke Takai, Yi Lu, Osamu Oda, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[126] &color(white,blue){FC};    Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing
--Journal of Physics D: Applied Physics 50 (19), 194001 (April 13, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa6874]]
--Journal of Physics D: Applied Physics 50 (19), 194001 pp. 1-13 (April 13, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa6874]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Makoto Miura, Masaru Kurihara, Kenji Maeda, Nobuyuki Negishi, Yasushi Sonoda, Motohiro Tanaka, Naoki Yasui, Masaru Izawa, Yohei Ishii, Kazumasa Okuma, Tiffany Saldana, James Manos, ''Kenji Ishikawa'', and Masaru Hori

-[125] &color(white,brown){APP};    Systematic measurements of O, N, NO, OH and vacuum ultraviolet light generated by an AC-excited atmospheric pressure Ar plasma in open air
--Journal of Physics D: Applied Physics 50 (19), 195202 (pp. 1-13) (April 13, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa6555]]
--Journal of Physics D: Applied Physics 50 (19), 195202 pp. 1-13 (April 13, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa6555]]
---Keigo Takeda, ''Kenji Ishikawa'', Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

-[124] &color(white,brown){APP};    Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals
--Journal of Physics D: Applied Physics 50 (15), 155208 (pp. 1-7) (March 10, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa61d7]]
--Journal of Physics D: Applied Physics 50 (15), 155208 pp. 1-7 (March 10, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa61d7]]
---Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito

-[123] &color(white,blue){FC};    Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas
--Journal of Physics D: Applied Physics 50 (15), 155201 (pp. 1-13) (March 10, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa60f7]]
--Journal of Physics D: Applied Physics 50 (15), 155201 pp. 1-13 (March 10, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa60f7]]
---Yoshinobu Ohya, ''Kenji Ishikawa'', Tatsuya Komuro, Tsuyoshi Yamaguchi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[122] &color(white,brown){PM};    Effects of ∙OH and ∙NO radicals in the aqueous phase on H2O2 and NO2− synthesized in plasma-activated medium
--Journal of Physics D: Applied Physics 50 (15), 155202 (pp. 1-9) (March 10, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa5f1d]]
--Journal of Physics D: Applied Physics 50 (15), 155202 pp. 1-9 (March 10, 2017)    [[(DOI):https://doi.org/10.1088/1361-6463/aa5f1d]]
---Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Takashi Kondo, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[121] &color(white,brown){APP};    Behaviors of absolute densities of atomic oxygen near an object surface in an AC-excited atmospheric pressure He plasma jet
--Applied Physics Express 10 (3), 036201 (pp. 1-4) (February 22, 2017)    [[(DOI):https://doi.org/10.7567/APEX.10.036201]]
--Applied Physics Express 10 (3), 036201 pp. 1-4 (February 22, 2017)    [[(DOI):https://doi.org/10.7567/APEX.10.036201]]
---Keigo Takeda, Takumi Kumakura, ''Kenji Ishikawa'', Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

-[120] &color(white,green){C};    Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes
--Japanese Journal of Applied Physics 56 (3), 035101 (pp. 1-5) (February 3, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.035101]]
--Japanese Journal of Applied Physics 56 (3), 035101 pp. 1-5 (February 3, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.035101]]
---Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, and Masaru Hori

-[119] &color(black,pink){GaN};    Investigation of effects of ion energies on both plasma-induced damages and surface morphologies and optimization of high-temperature Cl2 plasma etching in GaN
--Japanese Journal of Applied Physics 56 (2), 026502 (pp. 1-6) (January 25, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.026502]]
--Japanese Journal of Applied Physics 56 (2), 026502 pp. 1-6 (January 25, 2017)    [[(DOI):https://doi.org/10.7567/JJAP.56.026502]]
---Zecheng Liu, Jialin Pan, Atsuki Asano, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

-[118] &color(white,green){Si};    Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films
--Applied Physics Letters 110 (4), 043902 (pp. 1-5) (January 23, 2017)    [[(DOI):https://doi.org/10.1063/1.4974821]]
--Applied Physics Letters 110 (4), 043902 pp. 1-5 (January 23, 2017)    [[(DOI):https://doi.org/10.1063/1.4974821]]
---Yusuke Abe, ''Kenji Ishikawa'', Atsushi Fukushima, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

[2016]

-[117] &color(white,brown){AC};    Microfluidic transport through micro-sized holes treated by non-equilibrium atmospheric-pressure plasma
--IEEE Transactions on Plasma Science 44(12), pp.3060-3065 (December, 2016)    [[(DOI):https://doi.org/10.1109/TPS.2016.2571721]]
---Takumi Ito, ''Kenji Ishikawa'', Daisuke Onoshima, Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka, Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, and Masaru Hori

-[116] &color(white,red){OPEN};    &color(white,brown){PM};    Non-thermal atmospheric pressure plasma activates lactate in Ringer’s solution for anti-tumor effects
--Scientific Reports 6, 36282 (pp. 1-11) (November 8, 2016)    [[(DOI):https://doi.org/10.1038/srep36282]]
--Scientific Reports 6, 36282 pp. 1-11 (November 8, 2016)    [[(DOI):https://doi.org/10.1038/srep36282]]
---Hiromasa Tanaka, Kae Nakamura, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroaki Kajiyama, Fumi Utsumi, Fumitaka Kikkawa, and Masaru Hori

-[115] &color(white,brown){APP};    Effects of assisted magnetic field to an atmospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function
--Plasma Sources Science and Technology 25 (6), 065005 (pp. 1-8) (October 30, 2016)    [[(DOI):https://doi.org/10.1088/0963-0252/25/6/065005]]
--Plasma Sources Science and Technology 25 (6), 065005 pp. 1-8 (October 30, 2016)    [[(DOI):https://doi.org/10.1088/0963-0252/25/6/065005]]
---Chih-Tung Liu, Takumi Kumakura, ''Kenji Ishikawa'', Hiroshi Hashizume, Keigo Takeda, Masafumi Ito, Jong-Shinn Wu, and Masaru Hori

-[P14] &color(white,brown){Bio};    Micro sand timer in glass membrane device separates single circulating tumor cells in blood
--The 20th International Conference on Miniaturized Systems for Chemistry and Life Sciences, Micro Total Analysis Systems 2016 (Convention Center Dublin, Dublin, Ireland, Oct. 9-13, 2016) pp. 297-298.
---Daiki Kuboyama, Daisuke Onoshima, Hiroshi Yukawa, Hiromasa Tanaka, ''Kenji Ishikawa'', Masaru Hori, and Yoshinobu Baba

-[P13] &color(white,blue){ALE};    Control of Internal Plasma Parameters Toward Atomic Level Processing
--ECS Transactions, 75 (6), pp. 21-24 (October 3, 2016)    [[(DOI):https://doi.org/10.1149/07506.0021ecst]]
---Makoto Sekine, Takayoshi Tsutsumi, Yusuke Fukunaga, Keigo Takeda, Hiroki Kondoa, ''Kenji Ishikawa'', and Masaru Hori

-[114] &color(white,blue){ALE};    Thermal cyclic etching of silicon nitride by formation and desorption of ammonium fluorosilicate
--Applied Physics Express 9 (10), 106201 (pp. 1-3) (September 9, 2016)    [[(DOI):https://doi.org/10.7567/APEX.9.106201]]
--Applied Physics Express 9 (10), 106201 pp. 1-3 (September 9, 2016)    [[(DOI):https://doi.org/10.7567/APEX.9.106201]]
---Kazunori Shinoda, Masaru Izawa, Tadamitsu Kanekiyo, ''Kenji Ishikawa'', and Masaru Hori.

-[113] &color(white,brown){APP};    Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma
--Applied Physics Express 9 (9), 096201 (pp. 1-4) (August 6, 2016)    [[(DOI):https://doi.org/10.7567/APEX.9.096201]], Selected in Spotlight 2016
--Applied Physics Express 9 (9), 096201 pp. 1-4 (August 6, 2016)    [[(DOI):https://doi.org/10.7567/APEX.9.096201]], Selected in Spotlight 2016
---Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi, and Masaru Hori

-[112] &color(white,brown){PA};    Cold plasma interactions with enzymes in foods and model systems
--Trends in Food Science & Technology 55, pp. 39-47 (September 1, 2016)    [[(DOI):https://doi.org/10.1016/j.tifs.2016.07.001]]
---N. N. Misra, S. K. Pankaj, Annalisa Segat, and ''Kenji Ishikawa''

-[111] &color(white,brown){PM};    Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium
--Archives of Biochemistry and Biophysics 605, pp. 102-108 (September 1, 2016)    [[(DOI):https://doi.org/10.1016/j.abb.2016.01.011]]
---Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[110] &color(white,brown){PM};    Red blood cell coagulation induced by low-temperature plasma treatment
--Archives of Biochemistry and Biophysics 605, pp. 95-101 (September 1, 2016)    [[(DOI):https://doi.org/10.1016/j.abb.2016.03.023]]
---Kenji Miyamoto, Sanae Ikehara, Hikaru Takei, Yoshihiro Akimoto, Hajime Sakakita, ''Kenji Ishikawa'', Masashi Ueda, Jun-ichiro Ikeda, Masahiro Yamagishi, Jaeho Kim, Takashi Yamaguchi, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara

-[109] &color(white,brown){PM};    Helium based cold atmospheric plasma-induced reactive oxygen species-mediated apoptotic pathway attenuated by platinum nanoparticles
--Journal of Cellular and Molecular Medicine, 20 (9), pp. 1737–1748 (June 2, 2016)    [[(DOI):https://doi.org/10.1111/jcmm.12880]]
---Paras Jawaid, Mati Ur Rehman, Qing-Li Zhao, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, Tadamichi Shimizu, and Takashi Kondo

-[108] &color(white,brown){PM};    Effects of nitrogen on apoptosis and changes in gene expression in human lymphoma U937 cells exposed to argon-cold atmospheric pressure plasma
--International Journal of Molecular Medicine 37, pp. 1706-1714 (May, 2016)    [[(DOI):https://doi.org/10.3892/ijmm.2016.2574]], [[(Abstract):https://www.spandidos-publications.com/10.3892/ijmm.2016.2574/abstract]]
---Yoshiaki Tabuchi, Hidefumi Uchiyama, Qing-li Zhao, Tatsuya Yunoki, Qabor Andocs, Nobuyuki Nojima, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Takashi Kondo

-[107] &color(white,blue){FC};    Rapid electron density decay observed by surface-wave probe in afterglow of pulsed fluorocarbon-based plasma
--Japanese Journal of Applied Physics 55 (8), 080309 (pp. 1-4) (July 15, 2016)    [[(DOI):https://doi.org/10.7567/JJAP.55.080309]]
--Japanese Journal of Applied Physics 55 (8), 080309 pp. 1-4 (July 15, 2016)    [[(DOI):https://doi.org/10.7567/JJAP.55.080309]]
---Yoshinobu Ohya, Manabu Iwata, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, and Hideo Sugai 

-[106] &color(black,cyan){QC};    Primary dissociation channels of SiH4 and H abstract reactions
--Japanese Journal of Applied Physics 55 (7S2), 07LD07 (pp. 1-6) (June 22, 2016)    [[(DOI):https://doi.org/10.7567/JJAP.55.07LD07]]
--Japanese Journal of Applied Physics 55 (7S2), 07LD07 pp. 1-6 (June 22, 2016)    [[(DOI):https://doi.org/10.7567/JJAP.55.07LD07]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[105] &color(white,blue){FC};    Formation of a SiOF reaction intermixing layer on SiO2 etching using C4F6/O2/Ar plasmas
--Journal of Vacuum Science and Technology A 34 (4), 040602 (pp. 1-5) (May 17, 2016)    [[(DOI):https://doi.org/10.1116/1.4949570]]
--Journal of Vacuum Science and Technology A 34 (4), 040602 pp. 1-5 (May 17, 2016)    [[(DOI):https://doi.org/10.1116/1.4949570]]
---Yoshinobu Ohya, Maju Tomura, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori 

-[104] &color(white,green){a-C};    Effects of radical species on structural and electronic properties of amorphous carbon films deposited by radical-injection plasma-enhanced chemical vapor deposition
--Plasma Process and Polymers 13 (7), pp. 730–736 (July 2016)    [[(DOI):https://doi.org/10.1002/ppap.201500229]]
---Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, ''Kenji Ishikawa'', Osamu Oda, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[103] &color(white,green){a-C};    Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition
--Japanese Journal of Applied Physics 55 (4), 040305 (pp. 1-4) (March 29, 2016)    [[(DOI):https://doi.org/10.7567/JJAP.55.040305]]
--Japanese Journal of Applied Physics 55 (4), 040305 pp. 1-4 (March 29, 2016)    [[(DOI):https://doi.org/10.7567/JJAP.55.040305]]
---Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, ''Kenji Ishikawa'', Osamu Oda, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[102]    Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis
--Japanese Journal of Applied Physics 55 (1S), 01AB04 (pp. 1-4) (2016)    [[(DOI):https://doi.org/10.7567/JJAP.55.01AB04]]
--Japanese Journal of Applied Physics 55 (1S), 01AB04 pp. 1-4 (2016)    [[(DOI):https://doi.org/10.7567/JJAP.55.01AB04]]
---Takayoshi Tsutsumi, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

[2015]

-[101']    Cover Picture: Plasma Process. Polym. 12∕2015 (page 1329)
--Plasma Process and Polymers 12 (12), p. 1329 (December 20, 2015)    [[(DOI):https://doi.org/10.1002/ppap.201570043]]
---Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori, Hiroyuki Kimura, Kohei Sano, Hideo Saji, ''Kenji Ishikawa'', Masaru Hori, Hajime Sakakita, Yuzuru Ikehara, and Shuichi Enomoto

-[P12]    Superhydrophilic glass membrane device with open-microhole array for filtering and counting rare tumor cells
--The 19th International Conference on Miniaturized Systems for Chemistry and Life Sciences, Micro Total Analysis Systems 2015, (Hwabaek International Convention Center (HICO), Gyeongju, REPUBLIC OF KOREA, October 25-29, 2015), pp. 493-495.
---Akihiro Yonese, Daisuke Onoshima, Hiroshi Yukawa, ''Kenji Ishikawa'', Masaru Hori, and Yoshinobu Baba

-[101] &color(white,brown){PM};    Histological and nuclear medical comparison of inflammation after haemostasis with non-thermal plasma and thermal coagulation
--Plasma Process and Polymers 12 (12), pp. 1338–1342 (September 28, 2015)    [[(DOI):https://doi.org/10.1002/ppap.201500099]]
---Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori, Hiroyuki Kimura, Kohei Sano, Hideo Saji, ''Kenji Ishikawa'', Masaru Hori, Hajime Sakakita, Yuzuru Ikehara, and Shuichi Enomoto

-[100] &color(white,brown){PM};    Plasma blood coagulation without involving the activation of platelets and coagulation factors
--Plasma Process and Polymers 12 (12), pp. 1348–1353 (December 2, 2015)    [[(DOI):https://doi.org/10.1002/ppap.201500132]]
---Sanae Ikehara, Hajime Sakakita, ''Kenji Ishikawa'', Yoshihiro Akimoto, Takashi Yamaguchi, Masahiro Yamagishi, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara

-[99] &color(white,brown){PM};    Plasma with high electron density and plasma-activated medium for cancer treatment
--Clinical Plasma Medicine 3, pp. 72-76 (September 11, 2015)    [[(DOI):https://doi.org/10.1016/j.cpme.2015.09.001]], 
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Hiroki Kondo, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shin'ichi Akiyama, Shoichi Maruyama, Suguru Yamada, Yasuhiro Kodera, Hiroki Kaneko, Hiroko Terasaki, Hirokazu Hara, Tetsuo Adachi, Machiko Iida, Ichiro Yajima, Masashi Kato, Fumitaka Kikkawa, and Masaru Hori

-[98] &color(white,red){OPEN};    &color(white,brown){PM};    EPR-spin trapping and flow cytometric studies of free radicals generated using cold atmospheric argon plasma and X-ray irradiation in aqueous solutions and intracellular milieu
--PLoS One 10 (8), e0136956 (pp. 1-19) (August 28, 2015)    [[(DOI):https://doi.org/10.1371/journal.pone.0136956]]
--PLoS One 10 (8), e0136956 pp. 1-19 (August 28, 2015)    [[(DOI):https://doi.org/10.1371/journal.pone.0136956]]
---Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ali Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Takashi Kondo

-[97]    Feedback control system of wafer temperature for advanced plasma processing and its application to organic film etching
--IEEE Transactions on Semiconductor manufacturing 28 (4), pp. 515-520 (November 2015)    [[(DOI):https://doi.org/10.1109/TSM.2015.2470554]]
---Takayoshi Tsutsumi, Yusuke Fukunaga, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

-[96] &color(white,brown){PM};    Decreased expression levels of cell cycle regulators and matrix metalloproteinases in melanoma from RET-transgenic mice by single irradiation of non-equilibrium atmospheric pressure plasmas
--International Journal of Clinical and Experimental Pathology 8 (8), pp. 9326-9331 (August 15, 2015) [[(PDF):http://www.ijcep.com/files/ijcep0011212.pdf]], [[ToC:http://www.ijcep.com/V8_No8.html]]
---Machiko Iida, Ichiro Yajima, Nobutaka Ohgami, Li Xiang, Cunchao Zou, ''Kenji Ishikawa'', Masaru Hori, and Masashi Kato

-[95] &color(black,pink){GaN};    Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures
--Japanese Journal of Applied Physics 54 (6S2), 06GB04 (pp. 1-4) (June 1, 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.06GB04]]
--Japanese Journal of Applied Physics 54 (6S2), 06GB04 pp. 1-4 (June 1, 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.06GB04]]
---Zecheng Liu, Jialin Pan, Takashi Kako, ''Kenji Ishikawa'', Osamu Oda, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[94] &color(black,cyan){QC};    Electronic properties of HBr, O2 and Cl2 used in Si etching
--Japanese Journal of Applied Physics 54 (6S2), 06GA03 (pp. 1-4) (May 28, 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.06GA03]]
--Japanese Journal of Applied Physics 54 (6S2), 06GA03 pp. 1-4 (May 28, 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.06GA03]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[93] &color(white,blue){FC};    Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton
--Japanese Journal of Applied Physics 54 (4), 040303 (pp. 1-4) (March 12, 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.040303]]
--Japanese Journal of Applied Physics 54 (4), 040303 pp. 1-4 (March 12, 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.040303]]
---Yusuke Kondo, ''Kenji Ishikawa'', Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[92] &color(white,blue){FC};    CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase
--Japanese Journal of Applied Physics 54 (4), 040301 (pp. 1-4) (March 4, 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.040301]]
--Japanese Journal of Applied Physics 54 (4), 040301 pp. 1-4 (March 4, 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.040301]]
---Yusuke Kondo, ''Kenji Ishikawa'', Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[91] &color(white,green){Si};    Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using a PECVD process
--Plasma Sources Science and Technology 24 (2), 025019 (pp. 1-12) (March 10, 2015)    [[(DOI):https://doi.org/10.1088/0963-0252/24/2/025019]]
--Plasma Sources Science and Technology 24 (2), 025019 pp. 1-12 (March 10, 2015)    [[(DOI):https://doi.org/10.1088/0963-0252/24/2/025019]]
---Bibhuti Bhusan Sahu, Jeon G. Han, Kyung-Sik Shin, ''Kenji Ishikawa'', Masaru Hori, and Yudai Miyawaki

-[90]    Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer
--Thin Solid Films 575, pp. 17-20 (February 3, 2015)    [[(DOI):https://doi.org/10.1016/j.tsf.2014.10.020]]
---Akihiko Kono, Yu Arai, Yousuke Goto, Masashi Yamamoto, Seiji Takahashi, Tadaaki Yamagishi, ''Kenji Ishikawa'', Masaru Hori, and Hideo Horibe

-[89]    Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals
--Thin Solid Films 575, pp. 12-16 (February 3, 2015)    [[(DOI):https://doi.org/10.1016/j.tsf.2014.10.021]]
---Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Masashi Yamamoto, Akihiko Kono, Tatsuo Ishijima, ''Kenji Ishikawa'', Masaru Hori, and Hideo Horibe

-[88] &color(white,green){Si};    Experimental evidence of warm electron populations in magnetron sputtering plasmas
--Journal of Applied Physics 117 (3), 033301 (pp. 1-9) (January 15, 2015)    [[(DOI):https://doi.org/10.1063/1.4905901]]
--Journal of Applied Physics 117 (3), 033301 pp. 1-9 (January 15, 2015)    [[(DOI):https://doi.org/10.1063/1.4905901]]
---B. B. Sahu, Jeon G. Han, Hye R. Kim, ''Kenji Ishikawa'', and Masaru Hori

-[87] &color(white,blue){FC};    Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions
--Journal of Physics D: Applied Physics 48 (4), 045202 (pp. 1-7) (January 10, 2015)    [[(DOI):https://doi.org/10.1088/0022-3727/48/4/045202]]
--Journal of Physics D: Applied Physics 48 (4), 045202 pp. 1-7 (January 10, 2015)    [[(DOI):https://doi.org/10.1088/0022-3727/48/4/045202]]
---Yusuke Kondo, Yudai Miyawaki, ''Kenji Ishikawa'', Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[86] &color(white,brown){PA};    Quantitative clarification of inactivation mechanism of Penicillium digitatum spores treated with neutral oxygen radicals
--Japanese Journal of Applied Physics 54 (1S), 01AG05 (pp. 1-5) (January 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.01AG05]] Selected in Spotlight 2015
--Japanese Journal of Applied Physics 54 (1S), 01AG05 pp. 1-5 (January 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.01AG05]] Selected in Spotlight 2015
---Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito

-[85]    Robust characteristics of semiconductor-substrate temperature-measurement method using auto-correlation type frequency-domain low-coherence interferometry
--Japanese Journal of Applied Physics 54 (1S), 01AB03 (pp. 1-5) (January 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.01AB03]]
--Japanese Journal of Applied Physics 54 (1S), 01AB03 pp. 1-5 (January 2015)    [[(DOI):https://doi.org/10.7567/JJAP.54.01AB03]]
---Takayoshi Tsutsumi, Takayuki Ohta, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito

[2014]

-[84] &color(white,brown){PM};    Plasma medical science for cancer therapy: toward cancer therapy using nonthermal atmospheric pressure plasma
--IEEE Transactions on Plasma Science 42 (12), pp. 3760-3763 (December 2014)    [[(DOI):https://doi.org/10.1109/TPS.2014.2353659]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinnya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

-[83] Effectiveness of plasma diagnostic in ultra high frequency and radio frequency hybrid plasmas for synthesis of silicon nitride film at low temperature
--Journal of Applied Physics 116 (13), 134903 (pp. 1-10) (October 7, 2014)    [[(DOI):https://doi.org/10.1063/1.4896833]]
--Journal of Applied Physics 116 (13), 134903 pp. 1-10 (October 7, 2014)    [[(DOI):https://doi.org/10.1063/1.4896833]]
---Bibhuti Bhusan Sahu, Kyung-Sik Shin, Su-Bong Jin, Jeon G. Han, ''Kenji Ishikawa'', and Masaru Hori

-[82] &color(white,blue){HN};    Recovery of atom density drift caused by change in reactor wall conditions by real-time autonomous control
--Journal of Physics D: Applied Physics 47 (42), 422002 (pp. 1-5) (September 18, 2014)    [[(DOI):https://doi.org/10.1088/0022-3727/47/42/422002]]
--Journal of Physics D: Applied Physics 47 (42), 422002 pp. 1-5 (September 18, 2014)    [[(DOI):https://doi.org/10.1088/0022-3727/47/42/422002]]
---Toshiya Suzuki, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[P11] &color(white,red){ESR};    &color(white,brown){PA};    Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas
--International Journal of Modern Physics: Conference Series 32, 1460318 (August 15, 2014)    [[(DOI):https://doi.org/10.1142/S2010194514603184]], [[link to World Scientific Publishing Co.:http://www.worldscientific.com/doi/abs/10.1142/S2010194514603184]]
---''Kenji Ishikawa'', and Masaru Hori

-[81] &color(white,red){Free};    &color(white,brown){PM};    Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells.
--Plasma Medicine 2 (4), pp. 207-220 (July 2014)    [[(DOI):https://doi.org/10.1615/PlasmaMed.2013008267]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

-[80] &color(white,blue){HN};    Temporal changes of absolute densities of atoms in H2 and N2 mixture gas plasmas by surface modifications of reactor wall
--Japanese Journal of Applied Physics 53 (5), 050301 (pp. 1-4) (April 3, 2014)    [[(DOI):https://doi.org/10.7567/JJAP.53.050301]]
--Japanese Journal of Applied Physics 53 (5), 050301 pp. 1-4 (April 3, 2014)    [[(DOI):https://doi.org/10.7567/JJAP.53.050301]]
---Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[79] &color(white,green){C};    Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls
--Applied Physics Express 7 (4), 046201 (pp. 1-4) (March 25, 2014)    [[(DOI):https://doi.org/10.7567/APEX.7.046201]]
--Applied Physics Express 7 (4), 046201 pp. 1-4 (March 25, 2014)    [[(DOI):https://doi.org/10.7567/APEX.7.046201]]
---Hironao Shimoeda, Hiroki Kondo, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

-[78] &color(white,green){C};    Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls 
--Japanese Journal of Applied Physics 53 (4), 040307 (pp. 1-4) (March 20, 2014)    [[(DOI):https://doi.org/10.7567/JJAP.53.040307]]
--Japanese Journal of Applied Physics 53 (4), 040307 pp. 1-4 (March 20, 2014)    [[(DOI):https://doi.org/10.7567/JJAP.53.040307]]
---Hyung Jun Cho, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[77] &color(white,green){C};    Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution
--Japanese Journal of Applied Physics 53 (4), 040305 (pp. 1-4) (March 7, 2014)    [[(DOI):https://doi.org/10.7567/JJAP.53.040305]]
--Japanese Journal of Applied Physics 53 (4), 040305 pp. 1-4 (March 7, 2014)    [[(DOI):https://doi.org/10.7567/JJAP.53.040305]]
---Hironao Shimoeda, Hiroki Kondo, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

-[76] &color(white,brown){APP};    Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O2 non-equilibrium atmospheric-pressure plasma jet
--Plasma Sources Science and Technology 23 (2), 025004 (pp. 1-7) (March 3, 2014)    [[(DOI):https://doi.org/10.1088/0963-0252/23/2/025004]]
--Plasma Sources Science and Technology 23 (2), 025004 pp. 1-7 (March 3, 2014)    [[(DOI):https://doi.org/10.1088/0963-0252/23/2/025004]]
---Fengdong Jia, ''Kenji Ishikawa'', Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[75] &color(black,pink){GaN};    Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma – effect of TMG flow rate and VHF power
--Journal of Crystal Growth 391, pp. 97-103 (April 1, 2014)    [[(DOI):https://doi.org/10.1016/j.jcrysgro.2014.01.014]]
---Yi Lu, Hiroki Kondo, ''Kenji Ishikawa'', Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, and Masaru Hori

-[74] &color(white,green){C};    Density control of carbon nanowalls grown by CH4/H2 plasma and their electrical properties
--Carbon 68, pp. 380-388 (March 2014)    [[(DOI):https://doi.org/10.1016/j.carbon.2013.11.014]]
---Hyung Jun Cho, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[73] Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50 °C 
--Japanese Journal of Applied Physics 53 (1), 010305 (pp. 1-4) (January 2014)    [[(DOI):https://doi.org/10.7567/JJAP.53.010305]]
--Japanese Journal of Applied Physics 53 (1), 010305 pp. 1-4 (January 2014)    [[(DOI):https://doi.org/10.7567/JJAP.53.010305]]
---Yi Lu, Akiko Kobayashi, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[72] &color(white,red){Free};    &color(white,brown){PA};    Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals
--Japanese Journal of Applied Physics 53 (1), 010209 (pp. 1-6) (January 2014)    [[(DOI):https://doi.org/10.7567/JJAP.53.010209]]. Selected topics in applied physics, the 37th Japanese Society of Appllied Physics Best Paper Award. 
--Japanese Journal of Applied Physics 53 (1), 010209 pp. 1-6 (January 2014)    [[(DOI):https://doi.org/10.7567/JJAP.53.010209]]. Selected topics in applied physics, the 37th Japanese Society of Appllied Physics Best Paper Award. 
---Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito

[2013]

-[71] &color(white,red){OPEN};    &color(white,brown){PM};    Effect of indirect nonequilibrium atmospheric pressure plasma on anti-proliferative activity against chronic chemo-resistant ovarian cancer cells in vitro and in vivo
--PLoS ONE 8 (12), e81576 (pp. 1-10) (December 18, 2013)    [[(DOI):https://doi.org/10.1371/journal.pone.0081576]]
---Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

-[70] &color(white,red){OPEN};    High H radical density produced by 1-m-long atmospheric pressure microwave plasma system
--Japanese Journal of Applied Physics 52 (11S), 11NE01 (pp. 1-3) (November 20, 2013)    [[(DOI):https://doi.org/10.7567/JJAP.52.11NE01]]
---Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori

-[69] &color(white,blue){HN};    &color(white,green){C};    Field emissions of organic nanorods armored with metal nanoparticles
--Japanese Journal of Applied Physics 52 (12R), 120203 (pp. 1-4) (November 15, 2013)    [[(DOI):https://doi.org/10.7567/JJAP.52.120203]]
---Toshiya Suzuki, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[68] &color(white,brown){APP};    Effect of gas flow on transport of O (3Pj) atoms produced in ac power excited non-equilibrium atmospheric-pressure O2/Ar plasma jet
--Journal of Physics D: Applied Physics 46 (46), 464006 (pp. 1-6) (October 30, 2013)    [[(DOI):https://doi.org/10.1088/0022-3727/46/46/464006]]
---Keigo Takeda, Masaki Kato, Fendong Jia, ''Kenji Ishikawa'', Hiroyuki Kano, Makoto Sekine, and Masaru Hori

-[67] Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry
--Applied Physics Letters 103 (18), 182102 (pp. 1-3) (October 29, 2013)    [[(DOI):https://doi.org/10.1063/1.4827426]]
---Takayoshi Tsutsumi, Takayuki Ohta, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito

-[66] &color(white,brown){PA};    Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source
--Applied Physics Letters 103 (15), 153708 (pp. 1-4) (October 11, 2013)    [[(DOI):https://doi.org/10.1063/1.4824892]]
---Hiroshi Hashizume, Takayuki Ohta, Jia Fengdong, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Masafumi Ito

-[65]    Formation of nanoporous features, flat surfaces, or crystallographically oriented etched profiles by the Si chemical dry etching using the reaction of F2 + NO -> F + FNO at an elevated temperature
--Journal of Physical Chemistry C 117 (40), pp. 20810–20818 (Sep 6, 2013)    [[(DOI):https://doi.org/10.1021/jp4084794]]
---Satomi Tajima, Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[64] &color(white,green){C};    Atomic oxygen etching from the top edges of carbon nanowalls 
--Applied Physics Express 6 (9), 095201 (pp. 1-4) (August 27, 2013)    [[(DOI):https://doi.org/10.7567/APEX.6.095201]]
---Hironao Shimoeda, Hiroki Kondo, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

-[P10] &color(white,brown){APP};    A development of atmospheric pressure plasma equipment and its applications for treatment of Ag films formed from nano-particle ink
--Journal of Physics: Conf. Ser. 441, 012019 (June 13, 2013)    [[(DOI):https://doi.org/10.1088/1742-6596/441/1/012019]]
---Hitoshi Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, ''Kenji Ishikawa'', H. Kondo, M. Sekine, H. Toyoda, and M. Hori

-[63] &color(black,pink){GaN};    Surface morphology on high-temperature plasma-etched gallium nitride
--Trans. Mater. Res. Soc. Jpn. 38 (2), pp. 325-328 (June, 2013)    [[(DOI):https://doi.org/10.14723/tmrsj.38.325]], [[link to J-Stage:https://www.jstage.jst.go.jp/article/tmrsj/38/2/38_325/_article]]
---Ryosuke Kometani, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[62] A novel fast and flexible technique of radical kinetic behavior investigation based on pallet for plasma evaluation structure and numerical analysis
--Journal of Physics D: Applied Physics 46 (26), 265201 (pp. 1-11) (July 3, 2013)    [[(DOI):https://doi.org/10.1088/0022-3727/46/26/265201]]
---Arkadiusz Malinowski, Takuya Takeuchi, Shang Chen, Toshiya Suzuki, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, Lidia Lukasiak, and Andrzej Jakubowski

-[61] Photoluminescence study of plasma-induced damage of GaInN single quantum well
--Japanese Journal of Applied Physics 52 (8S), 08JL09 (pp. 1-3) (May 31, 2013)    [[(DOI):https://doi.org/10.7567/JJAP.52.08JL09]]
---Shouichiro Izumi, Masaki Minami, Michiru Kamada, Tetsuya Tatsumi, Atsushi A. Yamaguchi, ''Kenji Ishikawa'', Masaru Hori, and Shigetaka Tomiya 

-[60] Wavelength dependence of photon-induced interface defects in hydrogenated silicon nitride/Si structure during plasma etching processes
--Japanese Journal of Applied Physics 52 (5S2), 05ED01 (pp. 1-7) (May 20, 2013)    [[(DOI):https://doi.org/10.7567/JJAP.52.05ED01]]
---Masanaga Fukasawa, Hiroyasu Matsugai, Takayoshi Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, and Tetsuya Tatsumi

-[59] &color(white,blue){FC};    &color(black,cyan){QC};    Dissociation of C5F8 and C5HF7 etching gases
--Japanese Journal of Applied Physics 52 (5S2), 05EB02 (pp. 1-4) (May 20, 2013)    [[(DOI):https://doi.org/10.7567/JJAP.52.05EB02]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[58] &color(black,pink){GaN};    A high-temperature nitrogen plasma etching for preserving smooth and stoichiometric GaN surface
--Applied Physics Express 6 (5), 056201 (pp. 1-4) (April 25, 2013)    [[(DOI):https://doi.org/10.7567/APEX.6.056201]]
---Ryosuke Kometani, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[57] &color(white,green){C};    Supercritical fluid deposition of high-density nanoparticles of photo-catalytic TiO2 on carbon nanowalls
--Applied Physics Express 6 (4), 045103 (pp. 1-3) (April 4, 2013)    [[(DOI):https://doi.org/10.7567/APEX.6.045103]]
---Takeyoshi Horibe, Hiroki Kondo, ''Kenji Ishikawa'', Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[56] A room temperature Si etching in NO/F2 gas chemistry and its reaction mechanism
--Journal of Physical Chemistry C 117 (10), pp. 5118-5125 (February 25, 2013)    [[(DOI):https://doi.org/10.1021/jp3119132]]
---Satomi Tajima, Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[55] &color(white,red){Free};    &color(white,brown){PM};    Plasma-activated medium selectively kills glioblastoma brain tumor cells by downregulating a survival signaling molecule, AKT kinase
--Plasma Medicine 3 (3-4), pp. 265-277 (February 20, 2013)    [[(DOI):https://doi.org/10.1615/PlasmaMed.2012006275]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori 

-[54] &color(white,blue){FC};    Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma
--Journal of Physics D: Applied Physics 46 (10), 102001 (pp. 1-5) (February 8, 2013)    [[(DOI):https://doi.org/10.1088/0022-3727/46/10/102001]]
---Takuya Takeuchi, ''Kenji Ishikawa'', Yuichi Setsuhara, Hiroki Kondo, Keigo Takeda, Makoto Sekine, and Masaru Hori

-[53] &color(white,blue){FC};    Etching-enhancement followed by nitridation on low-k SiOCH film in Ar/C5F10O plasma
--Japanese Journal of Applied Physics 52 (2), 020204 (pp. 1-4) (February 2013)    [[(DOI):https://doi.org/10.7567/JJAP.52.020204]]
---Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Hidekazu Okamoto, Makoto Sekine, and Masaru Hori

-[52] &color(white,green){Si};    Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells
--Journal of Applied Physics 113 (3), 033304 (pp. 1-6) (January 19, 2013)    [[(DOI):https://doi.org/10.1063/1.4778608]]
---Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[51] &color(black,pink){GaN};    Development of high-density nitrogen radical source for low mosaicity and high rate growth of InGaN films in molecular beam epitaxy
--Japanese Journal of Applied Physics 52 (2), 021001 (pp. 1-5) (January 15, 2013)    [[(DOI):https://doi.org/10.7567/JJAP.52.021001]]
---Shang Chen, Yohjiro Kawai, Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, and Masaru Hori 

-[50] &color(white,green){Si};    Surface loss probability of H radicals on silicon thin films in SiH4/H2 plasma
--Journal of Applied Physics 113 (1), 013303 (pp. 1-6) (January 7, 2013)    [[(DOI):https://doi.org/10.1063/1.4773104]]
---Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[49] &color(white,blue){FC};    Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment 
--Journal of Applied Physics 113 (1), 014306 (pp. 1-6) (January 7, 2013)    [[(DOI):https://doi.org/10.1063/1.4772996]]
---Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, ''Kenji Ishikawa'', Hiroki Kondo, Keigo Takeda, Makoto Sekine, and Masaru Hori

-[48] &color(white,blue){FC};    Highly selective etching of SiO2 over Si3N4 and Si in capacitively coupled plasma employing C5HF7 gas
--Japanese Journal of Applied Physics 52 (1), 016201 (pp. 1-9) (January 2013)    [[(DOI):https://doi.org/10.7567/JJAP.52.016201]]
---Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, ''Kenji Ishikawa'', Toshio Hayashi, Keigo Takeda, Hiroki Kondo, and Masaru Hori

[2012]

-[47] &color(black,pink){GaN};    Individual roles for atoms and ions during hydrogen atom passivation of surface-defects on GaN created by plasma-etching
--Japanese Journal of Applied Physics 51 (11R), 111002 (pp. 1-5) (October 25, 2012)    [[(DOI):https://doi.org/10.1143/JJAP.51.111002]]
---Shang Chen, ''Kenji Ishikawa'', Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, and Masaru Hori

-[46] &color(white,green){Si};    Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells
--Applied Physics Letters 101 (17), 172109 (pp. 1-3) (October 22, 2012)    [[(DOI):https://doi.org/10.1063/1.4764065]]
---Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[45] &color(black,pink){GaN};    As-grown deep-level defects in n-GaN grown by metal–organic chemical vapor deposition on freestanding GaN
--Journal of Applied Physics 112 (5), 053513 (pp. 1-4) (September 6, 2012)    [[(DOI):https://doi.org/10.1063/1.4748170]]
---Shang Chen, Unhi Honda, Tatsunari Shibata, Toshiya Matumura, Yutaka Tokuda, ''Kenji Ishikawa'', Masaru Hori, Hiroyuki Ueda, Tsutomu Uesugi, and Tetsu Kachi

-[44] &color(white,red){ESR};    &color(white,brown){PA};    Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas
--Applied Physics Letters 101 (1), 013704 (pp. 1-4) (July 2, 2012)    [[(DOI):https://doi.org/10.1063/1.4733387]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6917]]
---''Kenji Ishikawa'', Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[43] &color(white,red){OPEN};    &color(black,pink){GaN};    Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature
--AIP advance 2 (2), 022149 (pp. 1-6) (2012)    [[(DOI):http://dx.doi.org/10.1063/1.4729448]]
---Shang Chen, Yi Lu, Ryosuke Kometani, ''Kenji Ishikawa'', Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, and Masaru Hori

-[P9] &color(white,red){ESR};    &color(white,brown){PA};    Electron spin resonance (ESR) observation of radicals on biological organism interacted with plasmas
--MRS Online Proceedings Library, ''1469'', mrss12-1469-ww02-06.    [[(DOI):https://doi.org/10.1557/opl.2012.928]], [[(online):http://journals.cambridge.org/abstract_S1946427412009281]]
---''Kenji Ishikawa'', Hiroko Moriyama, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori 

-[42] &color(white,brown){APP};    &color(white,green){C};    Ultrahigh-speed synthesis of nanographene using alcohol in-liquid plasma
--Applied Physics Express 5 (3), 035101 (pp. 1-3) (2012)    [[(DOI):https://doi.org/10.1143/APEX.5.035101]]
---Tatsuya Hagino, Hiroki Kondo, ''Kenji Ishikawa'', Hiroyuki Kano, Makoto Sekine, and Masaru Hori

-[41] &color(white,blue){FC};    Vacuum ultraviolet and ultraviolet radiation-induced effect of hydrogenated silicon nitride etching: surface reaction enhancement and damage generation
--Japanese Journal of Applied Physics 51 (2), 026201 (pp. 1-7) (2012)    [[(DOI):https://doi.org/10.1143/JJAP.51.026201]]
---Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa, Masaru Hori, and Tetsuya Tatsumi

-[40] &color(black,cyan){QC};    Quantum chemical investigation of Si chemical dry etching by flowing NF3 into N2 downflow plasma
--Japanese Journal of Applied Physics 51 (2), 026505 (pp. 1-5) (2012)    [[(DOI):https://doi.org/10.1143/JJAP.51.026505]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

-[39] &color(white,blue){FC};    Direct current (dc) superposed dual-frequency capacitively-coupled-plasma (DS-CCP) in selective etch of SiOCH over SiC
--Journal of Physics D: Applied Physics 45 (2), 025203 (pp. 1-7) (2012)    [[(DOI):https://doi.org/10.1088/0022-3727/45/2/025203]]
---Tsuyoshi Yamaguchi, Tetsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[38] &color(black,cyan){QC};    Quantum chemical investigation for chemical dry etching of SiO2 by flowing NF3 into H2 downflow plasma
--Japanese Journal of Applied Physics 51 (1), 016201 (pp. 1-6) (2012)    [[(DOI):https://doi.org/10.1143/JJAP.51.016201]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

-[37] &color(white,blue){HN};    Feature profiles on plasma etch of organic films by a temporal control of radical densities and real-time monitoring of substrate temperature
--Japanese Journal of Applied Physics 51 (1), 016202 (pp. 1-6) (2012)    [[(DOI):https://doi.org/10.1143/JJAP.51.016202]]
---Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori

[2011]

-[36] &color(white,blue){HN};    &color(black,yellow){IR};    Chemical bond modification in porous SiOCH films by H2 and H2/N2 plasmas investigated by in situ infrared reflection absorption spectroscopy (IR-RAS)
--Journal of Applied Physics 110 (12), 123301 (pp. 1-8) (December 28, 2011)    [[(DOI):https://doi.org/10.1063/1.3671547]]
---Hiroshi Yamamoto, Kohei Asano, ''Kenji Ishikawa'', Makoto Sekine, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, Keigo Takeda, Hiroki Kondo, and Masaru Hori

-[35] &color(white,brown){PA};    Inactivation of Penicillium digitatum spores by a high-density ground-state atomic oxygen-radical source employing an atmospheric-pressure plasma
--Applied Physics Express 4 (11), 116201 (pp. 1-3) (October 21, 2011)    [[(DOI):https://doi.org/10.1143/APEX.4.116201]]
---Sachiko Iseki, Hiroshi Hashizume, Fengdong Jia, Keigo Takeda, ''Kenji Ishikawa'', Takayuki Ohta, Masafumi Ito, and Masaru Hori 

-[34] &color(white,red){ESR};    Synergistic formation of radicals by irradiation with both vacuum ultraviolet and atomic hydrogen: a real-time in situ electron spin resonance study
--Journal of Physical Chemistry Letters 2, pp. 1278-1281 (2011)    [[(DOI):https://doi.org/10.1021/jz2002937]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6920]]
---''Kenji Ishikawa'', Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori 

-[33] &color(white,blue){FC};    &color(black,orange){Beam};    Impacts of CF+, CF2+, CF3+, and Ar ion beam bombardment with energies of 100 and 400 eV on surface modification of photoresist
--Japanese Journal of Applied Physics 50 (8S1), 08JE05 (pp. 1-5) (August 22, 2011)    [[(DOI):https://doi.org/10.1143/JJAP.50.08JE05]] 
---Takuya Takeuchi, Shinpei Amasaki, Hiroki Kondo, ''Kenji Ishikawa'', Hirotaka Toyoda, Makoto Sekine, Song-Yun Kang, Ikuo Sawada, and Masaru Hori

-[32] &color(black,pink){GaN};    Analysis of GaN damage induced by Cl2/SiCl4/Ar plasma
--Japanese Journal of Applied Physics 50 (8S1), 08JE03 (pp. 1-4) (August 22, 2011)    [[(DOI):https://doi.org/10.1143/JJAP.50.08JE03]] 
---Masaki Minami, Shigetaka Tomiya, ''Kenji Ishikawa'', Ryosuke Matsumoto, Shang Chen, Masanaga Fukasawa, Fumikatsu Uesawa, Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi 

-[31] &color(white,blue){FC};    &color(black,yellow){LIF};    Spatial distributions of electron, CF, and CF2 radical densities and gas temperature in dc-superposed dual-frequency capacitively coupled plasma etch reactor employing cyclic-C4F8/N2/Ar gas
--Japanese Journal of Applied Physics 50 (5), 056101 (pp. 1-6) (2011)    [[(DOI):https://doi.org/10.1143/JJAP.50.056101]]
---Tsuyoshi Yamaguchi, Tetsuya Kimura, Chishio Koshimizu, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[30] &color(white,blue){HN};    &color(black,yellow){IR};    H2/N2 plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics 
--Journal of Applied Physics 109 (8), 084112 (pp. 1-8) (2011)    [[(DOI):https://doi.org/10.1063/1.3562161]]
---Hiroshi Yamamoto, Keigo Takeda, ''Kenji Ishikawa'', Masafumi Ito, Makoto Sekine, Masaru Hori, Takeshi Kaminatsui, Hisataka Hayashi, Itsuko Sakai, and Tokuhisa Ohiwa 

-[29] &color(white,blue){FC};    &color(black,cyan){QC};    Dissociation Channels of c-C4F8 to CF2 radical in reactive plasma
--Japanese Journal of Applied Physics 50 (3), 036203 (pp. 1-6) (2011)    [[(DOI):https://doi.org/10.1143/JJAP.50.036203]]
---Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

-[28] &color(white,brown){APP};    Laser scattering diagnosis of a 60-Hz non-equilibrium atmospheric pressure plasma jet
--Applied Physics Express 4 (2), 026101 (pp. 1-3) (2011)    [[(DOI):https://doi.org/10.1143/APEX.4.026101]]
---Fengdong Jia, Naoya Sumi, ''Kenji Ishikawa'', Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, and Masaru Hori

-[27] &color(black,pink){GaN};    Behaviors of absolute densities of N, H and NH3 at remote region of high density radical source employing N2-H2 mixture plasmas
--Japanese Journal of Applied Physics 50 (1), 01AE03 (pp. 1-4) (2011)    [[(DOI):https://doi.org/10.1143/JJAP.50.01AE03]]
---Shang Chen, Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, and Masaru Hori

-[26] &color(white,brown){APP};    Hydrophobic treatment of organics against glass employing nonequilibrium atmospheric pressure pulsed plasmas with a mixture of CF4 and N2 gases
--Journal of Applied Physics 109 (1), 013310 (pp. 1-6) (2011)    [[(DOI):https://doi.org/10.1063/1.3525246]]
---Hirotoshi Inui, Keigo Takeda, ''Kenji Ishikawa'', Takuya Yara, Tsuyoshi Uehara, Makoto Sekine, and Masaru Hori

[2010]

-[25] &color(white,brown){APP};    Measurement of hydrogen radical density and its impact on reduction of copper oxide in atmospheric-pressure remote plasma using H2 and Ar mixture gases
--Applied Physics Express 3 (12), 126101 (pp. 1-3) (December 3, 2010)    [[(DOI):https://doi.org/10.1143/APEX.3.126101]]
---Hirotoshi Inui, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Hiroyuki Kano, Naofumi Yoshida, and Masaru Hori

[2007]

-[24] &color(white,red){ESR};    &color(white,green){dia};    Etching damage in diamond studied using an energy-controlled oxygen ion beam
--Japanese Journal of Applied Physics 46, pp. 60-64 (2007)    [[(DOI):https://doi.org/10.1143/JJAP.46.60]]
---Yuuichi Yamazaki, ''Kenji Ishikawa'', Norikazu Mizuochi, and Satoshi Yamasaki

-[P8] Reaction mechanism of low-temperature damageless cleaning of Cu2O by HCOOH
--Proc. on Advanced Metallization Conference 2006 (AMC 2006) pp. 111-116 (October 10-17, 2006, San Diego, CA, 2007)
---Masakazu Sugiyama, Isao Gunji, ''Kenji Ishikawa'', Masafumi Nakaishi, Kouichi Yamashita, and Takayuki Ohba

[2006]

-[23] &color(white,red){ESR};    &color(white,green){dia};    Structure of diamond surface defective layer damaged by hydrogen ion beam exposure
--Diamond Related Materials 15, pp. 703-706 (2006)    [[(DOI):https://doi.org/10.1016/j.diamond.2005.12.021]]
---Yuuichi Yamazaki, ''Kenji Ishikawa'', Norikazu Mizuochi, and Satoshi Yamasaki

-[22] Effcient reduction of standby leakage current in LSIs for use in mobile devices
--Japanese Journal of Applied Physics 45, pp. 3150-3153 (2006)    [[(DOI):https://doi.org/10.1143/JJAP.45.3150]]
---Hiroshi Kudo, ''Kenji Ishikawa'', Yasuyoshi Mishima, Shigeru Satou, Fukuji Kihara, Masayuki Okamoto, Tetsuya Ito, Yoshiyuki Suzuki, Toshio Nomura, Michiari Kawano, Katsunari Nishikawa, and Yoshihiro Ozaki

-[21] &color(white,red){ESR};    &color(white,green){dia};    Defect creation in diamond by hydrogen plasma treatment at room temperature
--Physica B 376/377, pp. 327-330 (2006)    [[(DOI):https://doi.org/10.1016/j.physb.2005.12.084]]
---Yuuichi Yamazaki, ''Kenji Ishikawa'', Seiji Samukawa, and Satoshi Yamasaki

-[20] &color(white,blue){HN};    &color(black,yellow){IR};    &color(white,red){ESR};    Surface reactions during etching of organic low-k films by plasma of N2 and H2
--Journal of Applied Physics 99 (8), 083305 (pp. 1-6) (2006)    [[(DOI):https://doi.org/10.1063/1.2191567]]
---''Kenji Ishikawa'', Yoshikazu Yamaoka, Moritaka Nakamura, Y. Yamazaki, Satoshi Yamasaki, Y. Ishikawa, and Seiji Samukawa, 

[2005]

-[19] &color(white,red){ESR};    &color(white,green){dia};    Structural change in diamond by hydrogen plasma treatment at room temperature
--Diamond Related Materials 14, pp. 1939-1942 (2005)    [[(DOI):http://dx.doi.org/10.1016/j.diamond.2005.09.011]]
---Yuuichi Yamazaki, ''Kenji Ishikawa'', Norikazu Mizuochi, and Satoshi Yamasaki

-[18] &color(white,blue){FC};    &color(black,orange){Beam};    Mass-analyzed CFx+ (x=1,2,3) ion beam study on selectivity of SiO2-to-SiN etching and a-C:F film deposition
--Journal of Applied Physics 97 (5), 053302 (pp. 1-6) (2005)    [[(DOI):https://doi.org/10.1063/1.1854726]]
---Ken-ichi Yanai, Kazuhiro Karahashi, ''Kenji Ishikawa'', and Moritaka Nakamura

-[17] &color(white,blue){FC};    &color(white,red){ESR};    In vacuo measurements of dangling bonds created during Ar-diluted fluorocarbon plasma etching of silicon dioxide films
--Applied Physics Letters 86 (26), 264104 (pp. 1-3) (2005)    [[(DOI):https://doi.org/10.1063/1.1978982]]
---''Kenji Ishikawa'', Mitsuru Okigawa, Yasushi Ishikawa, Seiji Samukawa, and Satoshi Yamasaki

-[P7] Low temperature dry cleaning technology using formic acid in Cu/low-k multilevel interconnects for 45 nm node and beyond
--Proc. on 22nd Advanced Metallization Conference 2005 (AMC 2005) pp. 569-574 (September 27-29, Colorado Springs, CO, 2005)
---Junya Nakahira, ''Kenji Ishikawa'', Nobuyuki Nishikawa, Masakazu Hayashi, A. A. Akbar, Y. Nakata, Y. Mizushima, H. Kudo, T. Kurahashi, Y. Mishima, Y. Takigawa, M. Nakaishi, Takayuki Ohba, and K Watanabe

[2004]

-[16] &color(white,blue){FC};    &color(black,orange){Beam};    Etching yield of SiO2 irradiated by F+ CFx+ (x=1,2,3) ion with energies from 250 to 2000 eV
--Journal of Vacuum Science and Technology A 22, pp. 1166-1168 (2004)    [[(DOI):https://doi.org/10.1116/1.1761119]]
---Kazuhiro Karahashi, Ken-ichi Yanai, ''Kenji Ishikawa'', Hideo Tsuboi, Kazuaki Kurihara, and Moritaka Nakamura

[2003]

-[15] &color(white,blue){FC};    &color(black,orange){Beam};    Transitional change to amorphous fluorinated carbon film deposition under energetic irradiation of mass-analyzed carbon mono-fluoride ions on silicon dioxide surfaces
--Journal of Vacuum Science and Technology A 21, pp. L1-L3 (2003)    [[(DOI):https://doi.org/10.1116/1.1578653]]
---''Kenji Ishikawa'', Kazuhiro Karahashi, Hideo Tsuboi, Ken-ichi Yanai, and Moritaka Nakamura

-[14] &color(white,blue){FC};    &color(black,yellow){IR,LIF};    Surface and gas-phase observations of Ar diluted c-C4F8 plasma by using real-time infrared spectroscopy and planar laser-induced fluorescence
--Journal of Applied Physics 93, pp. 1403-1408 (2003)    [[(DOI):https://doi.org/10.1063/1.1536740]]
---''Kenji Ishikawa'', Shigenori Hayashi, and Makoto Sekine

-[P6] Vapor treatment of copper surface using organic acids
--MRS Proceedings, 766, E3.28 (2003)    [[(DOI):https://doi.org/10.1557/PROC-766-E3.28]], [[(online):http://journals.cambridge.org/abstract_S1946427400135092]]
---''Kenji Ishikawa'', Teruo Yagishita, and Moritaka Nakamura

[2002]

-[13] Direct observation of surface dangling bonds during plasma process: chemical reactions during H2 and Ar plasma treatments
--Thin Solid Films 407, pp. 139-143 (2002)    [[(DOI):https://doi.org/10.1016/S0040-6090(02)00028-7]]
---Satoshi Yamasaki, Ujjwal Das, and ''Kenji Ishikawa''

-[12] &color(white,blue){FC};    &color(black,yellow){LIF};    Planar laser-induced fluorescence of fluorocarbon radicals in oxide etch process plasma
--Japanese Journal of Applied Physics 41, pp. 2207-2212 (2002)    [[(DOI):https://doi.org/10.1143/JJAP.41.2207]]
---Shigenori Hayashi, ''Kenji Ishikawa'', and Makoto Sekine

-[11] &color(white,red){ESR};    &color(black,yellow){IR};    In-vacuo electron spin resonance study on amorphous fluorinated carbon films for understanding of surface chemical reactions in plasma etching
--Applied Physics Letters 81, pp. 1773-1775 (2002)    [[(DOI):https://doi.org/10.1063/1.1505121]]
---''Kenji Ishikawa'', Shoji Kobayashi, Mitsuru Okigawa, Makoto Sekine, Satoshi Yamasaki, Tetsuji Yasuda, and Junichi Isoya

-[10] &color(white,blue){FC};    &color(black,yellow){IR};    Early-stage modification of a silicon oxide surface in fluorocarbon plasma for selective etching over silicon
--Journal of Applied Physics 91, pp. 1661-1666 (2002)    [[(DOI):https://doi.org/10.1063/1.1430882]]
---''Kenji Ishikawa'', and Makoto Sekine

[2001]

-[9] &color(white,blue){FC};    &color(black,yellow){IR};    In-situ time-resolved infrared spectroscopic study of silicon-oxide surface during selective etching over silicon in fluorocarbon plasma
--Japanese Journal of Applied Physics 39, pp. 6990-6995 (2001)    [[(DOI):https://doi.org/10.1143/JJAP.39.6990]]
---''Kenji Ishikawa'', and Makoto Sekine


[2000]

-[8] &color(black,yellow){IR};    Asymmetric peak line shape on infrared dielectric function spectra of thermally grown silicon dioxide films
--Journal of Applied Physics 88, pp. 7150-7156 (2000)    [[(DOI):https://doi.org/10.1063/1.1325377]]
---''Kenji Ishikawa'', Kunihiro Suzuki, and Shigeru Okamura

[1999]

-[7] &color(black,yellow){IR};    Thickness-deconvolved structural properties of thermally grown silicon dioxide films
--Journal of Applied Physics 86, pp. 3472-3474 (1999)    [[(DOI):https://doi.org/10.1063/1.371232]]
---''Kenji Ishikawa'', Hiroki Ogawa, and Shuzo Fujimura

-[6] &color(black,yellow){IR};    Contribution of interface roughness to infrared spectra of thermally grown silicon dioxide films
--Journal of Applied Physics 85, pp. 4076-4082 (1999)    [[(DOI):https://doi.org/10.1063/1.370313]]
---''Kenji Ishikawa'', Hiroki Ogawa, and Shuzo Fujimura

[1998]

-[5] &color(black,yellow){IR};    Analysis of native oxide growth process on an atomically flattened and hydrogen terminated Si(111) surface in pure water using Fourier transformed infrared reflection absorption spectroscopy
--Journal of Vacuum Science and Technology A 16, pp. 375-381 (1998)    [[(DOI):https://doi.org/10.1116/1.581008]]
---Shuzo Fujimura, ''Kenji Ishikawa'', and Hiroki Ogawa

[1997]

-[4] &color(black,yellow){IR};    Dependence of TO and LO mode frequency of thermally grown silicon dioxide films on annealing temperature
--Applied Surface Science 117/118, pp. 212-215 (1997)    [[(DOI):https://doi.org/10.1016/S0169-4332(97)80081-5]]
---''Kenji Ishikawa'', Yuji Uchiyama, Hiroki Ogawa, and Shuzo Fujimura

[1996]

-[3] &color(black,yellow){IR};    Infrared spectroscopy study of the RCA standard clean chemical oxides and their sequencing
--Journal of the Electrochemical Society 143, pp. 2995-3000 (1996)    [[(DOI):https://doi.org/10.1149/1.1837138]]
---Carlos Inomata, Hiroki Ogawa, ''Kenji Ishikawa'', and Shuzo Fujimura

-[P5] &color(black,yellow){IR};    Observation of oxygen exposed hydrogen terminated silicon surface
--The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 3, edited by H.Z. Massoud, E.H. Poindexter, and C.R. Helms, (The Electrochemical Society, NJ, 1996) pp. 428-435. [[Amazon:http://www.amazon.com/gp/product/156677151X]]
---Hiroki Ogawa, ''Kenji Ishikawa'', M. Aoki, Shuzo Fujimura, N. Ueno, Yasuhiro Horiike, and Y. Harada

-[2] &color(black,yellow){IR};    Initial stage of native oxide growth on hydrogen terminated silicon (111) surfaces
--Journal of Applied Physics 79, pp. 472-477 (1996)    [[(DOI):https://doi.org/10.1063/1.360853]]
---Hiroki Ogawa, ''Kenji Ishikawa'', Carlos Inomata, and Shuzo Fujimura

[1995]

-[1] &color(black,yellow){IR};    Effects of dissolved oxygen in HF solution on silicon surface morphology
--Japanese Journal of Applied Physics 34, pp. 732-736 (1995)    [[(DOI):https://doi.org/10.1143/JJAP.34.732]] 
---Hiroki Ogawa, ''Kenji Ishikawa'', Miki T. Suzuki, Yuka Hayami, and Shuzo Fujimura

[1994]

-[P4] &color(black,yellow){IR};    Native Oxide Characterization on Silicon Surfaces
--'''Control of Semiconductor Interfaces''', edited by I. Ohdomari, M. Oshima and A. Hiraki, (Elsevier Science B.V., 1994) pp. 383-388. [[(Amazon):http://www.amazon.com/gp/product/0444818898]]
---Hiroki Ogawa, Carlos Inomata, ''Kenji Ishikawa'', Shuzo Fujimura, and Haruhisa Mori

-[P3] &color(black,yellow){IR};    FT-IR-RAS analysis of the structure of the SiO2/Si interface
--'''Control of Semiconductor Interfaces''', edited by I. Ohdomari, M. Oshima and A. Hiraki, (Elsevier Science B.V., 1994) pp. 447-452. [[(Amazon):http://www.amazon.com/gp/product/0444818898]]
---''Kenji Ishikawa'', Hiroki Ogawa, Carlos Inomata, Shuzo Fujimura, and Haruhisa Mori

-[P2] &color(black,yellow){IR};    New analytical method of SiO2 structure by infrared reflection absorption spectroscopy (IR-RAS)
--MRS Proceedings 318, pp. 425-431 (1993)    [[(DOI):http://dx.doi.org/10.1557/PROC-318-425]], [[(online):http://journals.cambridge.org/article_S1946427400399146]]
---''Kenji Ishikawa'', Hiroki Ogawa, Carlos Inomata, Shuzo Fujimura, and Haruhisa Mori

[1993]

-[P1] &color(black,yellow){IR};    Observation of thin SiO2 films using IR-RAS
--'''The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 2''', edited by C. R. Helms and B. E. Deal, (Plenum Press, 1993) pp. 91-98. [[(Amazon):http://www.amazon.com/gp/product/0306444194]]
---Shuzo Fujimura, ''Kenji Ishikawa'', and Haruhisa Mori

#include(Footer,notitle)