• 32nd Symposium

November 10-12, 2010

< Recipient of DPS2010 Nishizawa Award >

J. W. Coburn

H. F. Winters

Research for Photovoltaics: Cost Reduction of Solar Energy through Comprehensive Researches from Materials to Large Scale PV Systems

M. Kondo1,2, H. Sai1, T. Matsui1 (1AIST, 2Tokyo Inst. of Technology)

Atmospheric-Pressure Plasma Technology for the High-Rate and Low-Temperature Deposition of Si Thin Films

H. Kakiuchi, H. Ohmi, K. Yasutake (Osaka Univ.)

Plasma Etching Technology to Enhance Solar Cell Efficiency

D.-Y. Lee1, C. Yang1, H.-M. Li1, W.J. Yoo1, Y.J. Park2, J.M. Kim2 (1Sungkyunkwan Univ., 2Samsung Electronics Co., Ltd.)

A Novel Method to Improve Uniformity in a Large Area VHF Plasma Source for Solar Application

T. Tanaka, J. Kudela, E. Hammond, C. Boitnott, Z. Chen, J.A. Kenney, S. Rauf (Applied Materials Inc.)

Magnetron Sputtering Deposition of Conductive Diamond-Like Carbon Films with Embedded Nanoparticles

K. Sasaki1, T. Tsutsumi2, N. Takada2, N. Nafarizal3 (1Hokkaido Univ., 2Nagoya Univ., 3Univ. Tun Hussein Onn Malaysia)

Decomposition of CO2 by Large Flow Atmospheric Microwave Plasma (LAMP)

A. Pandey, S. Parajulee, M. Hayakawa, S. Ikezawa (Chubu Univ.)

Micromachining of a PZT Cantilever Based on MEMS Scale for Low Frequency Energy Harvesting

M. Kim1, B. Hwang1, N.K. Min1, J. Jeong1, H.W. Lee2, K.-H. Kwon1 (1Korea Univ., 2Hanseo Univ.)

Corrosion Protection of Ti/TiN, Cr/TiN, Ti/CrN, and Cr/CrN Multi-coatings in Simulated Proton Exchange Membrane Fuel Cell Environments

N.D. Nam, J.G. Kim (Sungkyunkwan Univ.)

Catalytic Plasma for Methanol from Methane and Oxygen with [Ga Cr]/Cu-Zn-Al Catalyst in a Dielectric Barrier Discharge

A. Indarto1,2 (1Korea Inst. of Science and Technology, 2Institut Teknologi Bandung)

Application of Plasma Technology in the Synthesis of the Key Materials for Direct Alcohol Fuel Cells

Z. Jiang1,2, J. Ying1, Z.-J. Jiang3, Y. Meng2 (1Ningbo Univ. of Technology, 2Chinese Academy of Sciences, 3Univ. of California)

Surface Treatment of SC-SOFC Electrodes by an Atmospheric- Pressure He Plasma Jet

S. Kanazawa, T. Iwao, S. Akamine, R. Ichiki (Oita Univ.)

Microwave H2/SiH4 PCVD by Modified Microwave Antenna for Improvement of Silicon Film Quality

J. Sakai, Y. Ito, T. Ishijima, H. Toyoda (Nagoya Univ.)

Low Temperature Growth of Thin-Film Si:H by PECVD for Solar Cells

D.H. Won, S.B. Shim, K.H. Seok, D.H. Kang, J. Jang (Kyung Hee Univ.)

SiNx Double Layer Anti Reflection Coating by PECVD for Single Crystalline Silicon Solar Cells

D. Gong1, J. Ko1, Y.-J. Lee1, G. Choi1, B. Ha2, J. Yi1 (1Sungkyunkwan Univ., 2Innovation Silicon Co., Ltd.)

Screen-printed EFG (Edge-defined Film-fed Growth) Ribbon Silicon Solar Cells by Vapor Texturing

K. Han2,3, J. Yoo2, H.-E. Song2, K. Yoo2, J. Kwon4, S. Choi3, H. Lee3, J. Yi1 (1Sungkyunkwan Univ., 2Korea Inst. of Energy Research, 3Chungnam National Univ., 4Chunbuk National Univ.)

Factor Determining the Preferential Crystal Orientation in the Growth of Microcrystalline Si Films by RF PE-CVD

Z. Tang, D. Ohba, H. Shirai (Saitama Univ.)

Effect of Side-Wall Gate Potential on Leakage Current of Amorphous Silicon p-i-n Diode

K.H. Seok1, D.H. Kang1, J. Chang2, T. Tredwell2, J. Jang1 (1Kyung Hee Univ., 2Device Engineering Scientist Research and Innovations Carestream Health)

Real Time Monitoring of the Atmospheric-Pressure Plasma-PEDOT: PSS Interface using Spectroscopic Ellipsometry

T. Ino, T. Hayashi, Y. Muramatsu, R. Ishikawa, K. Suganuma, K. Ueno, H. Shirai (Saitama Univ.)

Improvement of Minority-Carrier Lifetime in GaAsN Grown by Chemical Beam Epitaxy

T. Honda1, M. Inagaki1, H. Suzuki2, N. Kojima1, Y. Ohshita1, M. Yamaguchi1 (1Toyota Technological Inst., 2Univ. of Miyazaki)

Structural Analysis of GaAsN Thin Films Grown by Chemical Beam Epitaxy using X-Ray Reciprocal Space Map

H. Suzuki1, N. Kojima2, Y. Ohshita2, M. Yamaguchi2 (1Univ. of Miyazaki, 2Toyota Technological Inst.)

Enhancement of Light Trapping using High-k Dielectric in LSPR for Silicon-Based Thin Film Solar Cells

H.-M. Li, G. Zhang, C. Yang, D.-Y. Lee, W.J. Yoo (Sungkyunkwan Univ.)

Substrate Temperature Dependence of Sticking Probability of SiOx-CH3 Nano-Particles

H. Miyata, K. Nishiyama, S. Iwashita, H. Matsuzaki, D. Yamashita, K. Kamataki, G. Uchida, N. Itagaki, K. Koga, M. Shiratani (Kyushu Univ.)

High Photocatalytic Efficiency of ZnO Nanoparticles Synthesized by Spray-Pyrolysis Method

S.D. Lee, S.-H. Nam, M.-H. Kim, J.-H. Boo (Sungkyunkwan Univ.)

Donor-Acceptor Type Blue Fluorescent Emitters with Diphenylaminofluorene Derivatives

K.H. Lee1, S. Oh1, Y.K. Kim2, S.S. Yoon1 (1Sungkyunkwan Univ., 2Hongik Univ.)

Preparation of SiO2 Passivation Thin Film for Improve the OLED Life Time

J.S. Hong1, K.H. Kim1 (1Kyungwon Univ.)

The Effects of Plasma Treatments of ITO Electrode for OLEDs

J.H. Wi, S.H. Kim, J.C. Woo, C.I. Kim (Chung-Ang Univ.)

Helium Atmospheric Pressure Glow Discharge for Three-Dimensional Porous Scaffold as a Pre-Wetting Process

I. Han, B. Vagaska, D.H. Lee, B.J. Park, J.-C. Park (Yonsei Univ. College of Medicine)

Study on Improvement in Hydrophilic Property of Polymer Film by Pulsed Microplasma

A. Umeda, M. Blajan, K. Shimizu (Shizuoka Univ.)

Pulsed Powered Microplasma Diagnostics

M. Blajan, K. Shimizu (Shizuoka Univ.)

Low Temperature Deposition of SiOx Films by HMDSN/O2 Atmospheric Pressure Plasma

C. Huang, S.-Y. Wu, C.-Y. Tsai (Yuan Ze Univ.)

Effects of Photo-Irradiations in VUV and UV Regions on Chemical Bonding States of Polymers during Plasma Exposure

K. Cho1,4, K. Takenaka1,4, Y. Setsuhara1,4, M. Shiratani2,4, M. Sekine3,4, M. Hori3,4 (1Osaka Univ., 2Kyushu Univ., 3Nagoya Univ., 4JST-CREST)

Combinatorial Analysis of Plasma-Polymer Interactions for Soft Material Processing

Y. Setsuhara1,4, K. Takenaka1,4, K. Cho1,4, M. Shiratani2,4, M. Sekine3,4, M. Hori3,4 (1Osaka Univ., 2Kyushu Univ., 3Nagoya Univ., 4JST-CREST)

A High-Fidelity Computational Model of Electromagnetic Wave-Plasma Coupling Effects in Capacitively Coupled Plasma Reactors

L. Raja1, S. Mahadevan2, X. Yuan2 (1The Univ. of Texas at Austin, 2Esgee Technologies Inc.)

Modeling Wave Effects in CCP Reactors using CFD-ACE+

A.N. Bhoj, M. Gyimesi, K. Shah, M. Megahed (ESI US R&D Inc.)

Estimation of the Light Output Power and Efficiency of XeCl Barrier Discharge Excilamp using a Drift--Diffusion Model for Various Voltage Waveforms

L.T. Doanh, S. Bhosle, G. Zissis (Univ. of Toulouse (UPS), INPT, CNRS)

The Detailed Measurement of the Electron Density Peak in Microwave Plasma Source with Resonant Cavity for TM Wave

S. Nakatsui, N. Katayama, S. Kogoshi (Tokyo Univ. of Science)

Spatial Plasma Profile of the Dual Frequency Inductively Coupled Plasma Discharge

H.-S. Lee, S.-H. Seo, H.-Y. Chang (KAIST)

Spatial Distribution of Dust Particle Controlled in Modulated-13.56 MHz RF Plasmas

K. Takahashi1, H.M. Thomas2, G.E. Morfill2 (1Kyoto Inst. of Technology, 2Max-Planck-Inst. for Extraterrestrial Physics)

In Situ Monitoring of Plasma Etch Processes of Low-k Dielectrics using Quantum Cascade Laser Absorption Spectroscopy

N. Lang1, H. Zimmermann1, U. Macherius1, S. Zimmermann2, N. Ahner4, F. Blaschta2, M. Schaller3, S.E. Schulz2,4, J. Röpcke1 (1INP Greifswald, 2Fraunhofer ENAS, 3Globalfoundries Dresden Module Two GmbH & Co. KG, 4Chemnitz Univ. of Technology)

Optical Measurement of Plasma Arcing in RF Discharge

Y.H. Kim, H.Y. Chang (KAIST)

Improvement of Radial Thermal Shielding of a Temperature Gradient Type Thermal Probe

H. Matsuura, N. Inagaki, K. Nakano (Osaka Prefecture Univ.)

An Indirect Method to Monitor Plasma Status in a Transformer Coupled Plasma

D. You1, S.K. Ahn2, H.Y. Chang1 (1KAIST, 2Korea Inst. of Energy Research)

Optical Luminescence of GaN Thin Films Induced by High Energy Electrons in Inductively-Coupled Plasmas

K. Nakamura, Y. Guo, J. Gao, Y. Nakano, H. Sugai (Chubu Univ.)

Progress in Plasma Medicine: Plasma Wound Treatment

G. Friedman (Drexel Univ.)

Detection of Plasma-Induced Damage on Transparent Polymer Films using Total Internal Reflection Microscopy

T. Ono1,2, R. Iizuka1,2, T. Akagi1,2, T. Funatsu1,2, T. Ichiki1,2 (1The Univ. of Tokyo, 2JST-CREST)

Improved Thickness Uniformity of Si Layer in SOI wafer by Numerically Controlled Sacrificial Oxidation using Atmospheric-Pressure Plasma with Electrode Array System

K. Yoshinaga, Y. Sano, H. Mimura, S. Matsuyama, K. Yamauchi (Osaka Univ.)

Formation of High Density Pt Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing using Thermal Plasma Jet

K. Makihara1, K. Matsumoto1, T. Okada2, N. Morisawa1, M. Ikeda1, S. Higashi1, S. Miyazaki3 (1Hiroshima Univ., 2Univ. of Ryukyu, 3Nagoya Univ.)

Front-End-Friendly Bumpless Wafer-on-Wafer (WOW) Technology for 3D Integration and Applications

T. Ohba (The Univ. of Tokyo)

Investigation of Si Etch Reaction Induced by SF6/O2 Plasma

S. Amasaki1, T. Takeuchi1, K. Takeda1, K. Ishikawa1, H. Kondo1, M. Sekine1, M. Hori1, N. Sakurai2, H. Hayashi2, I. Sakai2, T. Ohiwa2 (1Nagoya Univ., 2Toshiba Corp.)

Improvement of Thermal Stability of MRAM Device with SiN Protective Film Deposited by Pseudo Remote Plasma CVD

Y. Kawano, T. Nishimori, T. Shimazu, H. Kawasaki, M. Inoue (Mitsubishi Heavy Industries, Ltd.)

Novel Precursors for SiCH Low-k Caps beyond the 22-nm Node: Reactions of Silacyclopentane Precursors in PECVD Process and Structural Analyses of SiCH Films

H. Shimizu1,2, N. Tajima3, T. Kada4, S. Nagano1, Y. Shimogaki2 (1Taiyo-Nippon Sanso Co., 2The Univ. of Tokyo, 3NIMS, 4Tri Chemical Laboratories Inc.)

Conformal Doping for FinFETs by a Novel Self-Regulatory Plasma Doping Process

Y. Sasaki1, K. Okashita1, S. Hayashi2, K. Nakamoto1, T. Kitaoka1, B. Mizuno1, M. Kubota2, M. Ogura2, O. Nishijima2 (1Ultimate Junction Technologies Inc., 2Panasonic Corp.)

Efficient Activation of As Atoms in Ultra Shallow Junction by Thermal Plasma Jet Induced Microsecond Annealing

K. Matsumoto1, S. Higashi1, A. Ohta1, H. Murakami1, S. Miyazaki2 (1Hiroshima Univ., 2Nagoya Univ.)

Auger Electron Spectroscopy Study of the Chemical Reactions on a Plasma Reactor Wall

L. Stafford1,2, R. Khare2, J. Guha2, V.M. Donnelly2 (1Univ. of Montreal, 2Univ. of Houston)

Study of the Modifications Induced by Plasma VUV Light on Photoresists for the Development of Cure Treatments to Improve Etch Resistance and Linewidth Roughness

M. Fouchier1, E. Pargon1, L. Azarnouche2, O. Luere1, K. Menguelti1, G. Cunges1, N. Sadhegi3, O. Joubert1 (1CNRS/LTM, 2ST Microelectronics, 3CNRS/LSP)

Mechanism of 193-nm Resist Cure by DC Superimposed Capacitively-Coupled Plasma

T. Katsunuma, K. Narishige, M. Hosoya, M. Honda (Tokyo Electron AT Ltd.)

Crystallization Behavior Changes Occurred by N Doping in GeSb Alloy

H.K. Kim1, J.S. Roh2, D.J. Choi1 (1Yonsei Univ., 2Hynix Semiconductor Inc.)

Different Crystallization Behavior between Ag-Doped and Si-Doped Sb-Rich GeSb Thin Films

N.H. Kim1, J.S. Roh2, D.J. Choi1 (1Yonsei Univ., 2Hynix Semiconductor Inc.)

Reactive Sputter Deposited Silicon Oxynitride Films under Argon-Carbon Dioxide-Nitrogen Atmospheres

T. Ashida, H. Omoto, T. Tomioka, A. Takamatsu (Central Glass Co., Ltd.)

Influence of Nitrogen-Incorporation on the Electrochemical Performance of DLC Coating

N.D. Nam, M.J. Kim, J.G. Kim (Sungkyunkwan Univ.)

Ar/N2 Supermagnetron-Sputter Deposition of a-CNx: H Films under Wafer Bias Application

H. Kinoshita, G. Ohno, M. Kubota (Shizuoka Univ.)

Epitaxial Growth of (100)ß-FeSi2 Film on 4H-SiC (001)

K. Akiyama, T. Kadowaki, S. Kaneko, Y. Hirabayashi (Kanagawa Industrial Technology Center)

Cubic Shape of MgO Deposited on Silicon (001)

S. Kaneko1,2, K. Akiyama1, T. Ito1, M. Yasui1, T. Ozawa1, Y. Hirabayashi1, M. Soga1, Y. Motoizumi1, H. Funakubo2, M. Yoshimoto2 (1Kanagawa Industrial Technology Center, 2Tokyo Inst. of Technology)

Metastability of Oxygen Atoms in the Sputter-Deposited ZnO Films

A. Morita, F. Watanabe, H. Shirai (Saitama Univ.)

Physical Properties of ZnO Thin Films on Glass and PES Substrates by RF Magnetron Sputtering System

S.-H. Nam, M.-H. Kim, S.D. Lee, J.-H. Boo (Sungkyunkwan Univ.)

The Surface Energy-Dictated Initial Growth of a Pentacene Film on a Polymeric Adhesion Layer for Field-Effect Transistors

J. Park1, J.-H. Bae2, W.-H. Kim2, S.-D. Lee2, J.S. Gwag3, D.W. Kim1, J.S. Choi1 (1Hongik Univ., 2Seoul National Univ., 3Yeungnam Univ.)

Enhancement of Electron Field Emission Properties of Carbon Nanowalls by N2 Plasma Surface Treatment

T. Horaguchi1, Y. Nihashi1, M. Hiramatsu1, W. Takeuchi2, T. Obayashi2, H. Kondo2, M. Hori2 (1Meijo Univ., 2Nagoya Univ.)

Enhancement in Electron Field Emission of Microcrystalline Diamond Films upon Annealing Process with Metal Layers Coating

W.-C. Shih1, P.-C. Huang1, I.-N. Lin2 (1Tatung Univ., 2Tamkang Univ.)

Deposition of Fluorocarbon Film with 1,1,1,2-tetrafluoroethane Plasma Polymerization Based on RF-Capacitively Couple Mode Discharge

C. Huang, C.-I. Lin, C.-Y. Tsai (Yuan Ze Univ.)

Surface Modification of Polypropylene Membrane by RF Methane/Oxygen Mixture Plasma Treatment

C.-Y. Tsai, R.-S. Juang, C. Huang (Yuan Ze Univ.)

Observation of 193-nm Photoresist Surface Exposed to Etching Plasma Employing C5HF7 Gas Chemistry

H. Yamamoto1, Y. Miyawaki1, K. Takeda1, K. Ishikawa1, H. Kondo1, M. Sekine1, M. Hori1, A. Ito2, H. Matsumoto2 (1Nagoya Univ., 2Zeon Corp.)

Investigation of the Modified ArF Photoresist Surface during Fluorocarbon Plasma Etching Process

T. Takeuchi1, S. Amasaki1, K. Takeda1, K. Ishikawa1, H. Kondo1, H. Toyoda1, M. Sekine1,2, M. Hori1,2, S.-Y. Kang3, I. Sawada3 (1Nagoya Univ., 2JST-CREST, 3Tokyo Electron Ltd.)

Replacement Gate Formation Etch Development

P. Pan1, P. Friddle2, W. Huang2, H.H. Chen1, T.W. Kim2, J.H. Liao1, G. Kamarthy2 (1United Microelectronics Corp., 2Lam Research Corp.)

Very Narrow Si Trench Fabrication by New Mask Process

J. Sakamoto1,2, H. Kawata1,2, M. Yasuda1,2, Y. Hirai1,2 (1Osaka Prefecture Univ., 2JST-CREST)

A Study on the High-Aspect-Ratio Si Etch

K.Y. Yang, Y.S. Kang, J.C. Park, S. Lim, S.S. Jeong, S.W. Nam (Samsung Electronics Co., Ltd.)

Global Plasma Modeling Based Analysis of Si Master Etching in the Sidewall Transfer Nanoimprint Lithography using Inductively Coupled Cl2/O2 Plasma

Y.-H. Ham1,2, H.W. Lee3, K.-S. Park1, D.P. Kim1, K.-H. Baek1, L.-M. Do1, K.-H. Kwon2 (1Electronics and Telecommunications Research Inst., 2Korea Univ., 3Hanseo Univ.)

Dry Etching of SiC by using Capacity Coupled Pure NF3 and NF3/Ar Mixture Gas Plasmas

Y. Kotaka1, T. Tojo2, M. Inaba1, A. Tasaka1 (1Doshisha Univ., 2Toyo Tanso, Co., Ltd.)

Etching of MTJ (Magnetic Tunnel Junction) Layer using CO/NH3 in an Inductively Coupled Plasma

J.Y. Park1, S.-K. Kang1, M.H. Jeon1, G.Y. Yeom1,2 (1SKKU Advanced Inst. of Nano Technology, 2Sungkyunkwan Univ.)

Etch Properties of the TiN Thin Film in the Metal-Insulator-Metal Capacitor using Inductively Coupled Plasma

J.S. Park, J.C. Woo, C.I. Kim (Chung-Ang Univ.)

Etch Characteristics of TiO2 Thin Films using the Metal-Insulator-Metal Capacitor in a High Density Plasma

J.C. Woo, C.I. Kim (Chung-Ang Univ.)

A Study on Dry Etching for Profile and Selectivity of ZnO Thin Films by using Inductively Coupled Plasma

K.-M. Heo, J.-C. Woo, C.-I. Kim (Chung-Ang Univ.)

Uncooled Microbolometer Fabrication using Step Via Dry Etching Technology

T.Y. Kang1, W.S. Jang2, H.G. Lee2, K.H. Kim1 (1Kyungwon Univ., 2OCAS Company)

Estimation of Ion/Radical Flux from Mask Selectivity and Etching Rate, Calibrated by Topography Simulation

T. Ohmine1, V. Despande2, H. Takada1, T. Ikeda3, H. Saito3, F. Kawai3, K. Hamada 3 (1Nihon Synopsys G.K., 2Synopsys Switzerland LLC, 3Toyota Motor Corp.)

Measurement of Negative Ions Generated on the Si Etched Surface

T. Hayashi1, S. Murai1, F. Sato1, A. Kono1, N. Mizutani2, K. Suu2 (1Nagoya Univ., 2ULVAC Inc.)

High Density F-- Negative-Ion Source by Utilizing Magnetized SF6 Plasma

M.A. Imtiaz, T. Mieno (Shizuoka Univ.)

Capacitively Coupled Radio Frequency Helium Plasma Etch Damage to TiO2 Thin Film Surfaces

R. Kawakami1, A. Takeichi1, M. Niibe2, T. Inaoka1, K. Tominaga1 (1The Univ. of Tokushima, 2Univ. of Hyogo)

Study of Wet-Etch Rate of Plasma-Damaged Surface and Interface Layers and Residual Defect Sites

Y. Nakakubo, A. Matsuda, Y. Takao, K. Eriguchi, K. Ono (Kyoto Univ.)

Mechanism of Damage Generation on Porous SiOCH during Resist Strip using N2/H2 and CO2 Plasmas

T. Imamura, K. Kurihara, H. Hayashi, T. Ohiwa (Toshiba Corp.)

Study of Si Surface Roughness in FEOL Etch Applications

J. Guha, G. Kamarthy, L. Braly (Lam Research Corp.)

Molecular Dynamics Analysis of Surface Roughness during Si Etching in Chlorine-Based Plasmas

H. Tsuda, Y. Takao, K. Eriguchi, K. Ono (Kyoto Univ.)

C5HF7 Chemistry for Highly Selective Etch of SiO2 over SiN and Si

Y. Miyawaki1, Y. Kondo1, H. Yamamoto1, A. Ito2, H. Matsumoto2, K. Takeda1, H. Kondo1, K. Ishikawa1, T. Hayashi1, M. Sekine1, M. Hori1 (1Nagoya Univ., 2Zeon Corp.)

Mechanisms of Selective Etching for Magnetic Thin Films by Reactive Plasmas for MRAM Applications

K. Karahashi, T. Ito, S. Hamaguchi (Osaka Univ.)

Trade-Off Relationship between Si Recess and Defect Density Formed by Plasma-Induced Damage in Planar MOSFETs and the Optimization Strategies

K. Eriguchi, Y. Nakakubo, A. Matsuda, Y. Takao, K. Ono (Kyoto Univ.)

Si Recess of Poly-Si Gate Etching: Damage Enhanced by Ion Assisted Oxygen Diffusion

T. Ito1, K. Karahashi1, M. Fukasawa2, T. Tatsumi2, S. Hamaguchi1 (1Osaka Univ., 2Sony Corp.)

Comparative Study of Plasma-Charging Damage in High-k Dielectric and p-n Junction and their Effects on Off-State Leakage Current of MOSFETs

M. Kamei, Y. Takao, K. Eriguchi, K. Ono (Kyoto Univ.)

Advanced Contactless Analysis of Plasma-Induced Damage on Si by Temperature-Controlled Photoreflectance Spectroscopy

A. Matsuda, Y. Nakakubo, Y. Takao, K. Eriguchi, K. Ono (Kyoto Univ.)