Publication のバックアップソース(No.49)

#author("2023-02-18T14:29:48+09:00","default:ishikawa","ishikawa")
* Publication

Total 302 peer-reviewed papers (journal 268 papers + 11 paper in Japanese + 14 peer-reviewed proceedings + 9 other papers); 

''3883'' {4583} total citations ; h-index ''30'' , {''32'' [[Scopus:http://www.scopus.com/authid/detail.url?authorId=55360981500]] }
// [[Publons>https://publons.com/researcher/2507368/kenji-ishikawa/]]    Author ID (I-6758-2014 was changed from [[C-9919-2009:http://www.researcherid.com/rid/C-9919-2009]]) on Thomson Reuters database.

Topics
-&color(white,blue){FC,HN};    Fluorocarbon plasmas and hydrogen-nitrogen mixture plasmas
-&color(white,brown){APP,PM};    Atmospheric pressure plasmas, Plasma agriculture, and Plasma medicine
-&color(white,green){dia,C,Si};    Plasma processes for diamond, nano-carbon and nanocrystalline silicon
-&color(black,pink){GaN};    Plasma processes for gallium nitrides

Methods
-&color(black,yellow){IR};    Infrared spectroscopic studies
-&color(black,yellow){LIF};    Laser induced fluorescence
-&color(white,red){ESR};    Electron spin (Paramagnetic) resonance
-&color(black,orange){Beam};    Surface reactions under ion beam irradiation
-&color(black,cyan){QC};    Quantum chemical computation

**2023

-[268] &color(white,blue){Etch};    Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma  
--Vacuum 210, 111863 pp. 1-10 (April 2023). [[(DOI):https://doi.org/10.1016/j.vacuum.2023.111863]]
---Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[267] &color(white,red){OPEN};    Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies
--Japanese Journal of Applied Physics 62 (SA), SA0803 pp. 1-37 (February 2023). [[(DOI):https://doi.org/10.35848/1347-4065/ac9189]]
---Makoto Kambara, Satoru Kawaguchi, Hane June Lee, Kazumasa Ikuse, Satoshi Hamaguchi, Takeshi Ohmori, and ''Kenji Ishikawa''

-[266] &color(white,brown){PM};   Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds
--Japanese Journal of Applied Physics 62 (SA), SA1017 pp. 1-10 (February 2023). [[(DOI):https://doi.org/10.35848/1347-4065/ac9319]]
---Koki Ono, Takashi Koide, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroki Kondo, Ayae Sugawara-Narutaki, Yong Jin, Shigeo Yasuhara, Masaru Hori, and Wakana Takeuchi

-[J11] &color(white,brown){PM};   Free radical formation induced by cold atmospheric plasma and its biological implications-Comparison with ionizing radiation- (低温大気圧プラズマによるフリーラジカル生成とその生物学的意義) (in Japanese)
--化学工業 74 (20), pp. 120-126 (February 2023). [[(Web):http://www.kako-sha.co.jp/volkagaku.html]]
---Takashi Kondo, Hiroshi Hashizume, Hiromasa Tanaka, ''Kenji Ishikawa'', and Masaru Hori

-[265] &color(black,pink){GaN};    Thermal cyclic etching of GaN using sequential exposures of Cl2 plasma and Ar plasma at substrate temperature of 400°C
--Journal of Applied Physics 133, 043302 pp. 1-11 (January 24, 2023). [[(DOI):https://doi.org/10.1063/5.0131685]]
---Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, ''Kenji Ishikawa'', Makoto Sekine, Osamu Oda, and Masaru Hori

-[264] &color(white,green){C};    Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method
--Materials Science and Engineering A 862, 144428 pp. 1-8 (January 18, 2023). [[(DOI):https://doi.org/10.1016/j.msea.2022.144428]]
---Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, ''Kenji Ishikawa'', Osamu Oda, Noritsugu Umehara, and Masaru Hori

-[263] &color(white,red){OPEN}; &color(white,brown){PM};   Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity
--Genes and Environment 45, 3 pp. 1-10 (January 13, 2023) [[(DOI):https://doi.org/10.1186/s41021-023-00260-x]]
---Yang Liu, Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, ''Kenji Ishikawa'', Masaharu Shiratani, and Masaru Hori 

**2022

-[262] &color(white,red){OPEN}; &color(white,green){C};   High efficiency of ionization and fragmentation-less surface-assisted laser desorption/ionization mass spectroscopy by high-quality carbon nanowalls
--Nanomaterials 13 (1), 63 pp. 1-14 (December 23, 2022). [[(DOI):https://doi.org/10.3390/nano13010063]] 
---Ryusei Sakai, Hiroki Kondo, ''Kenji Ishikawa'', Takayuki Ohta, Mineo Hiramatsu, Hiromasa Tanaka, Masaru Hori

-[261] &color(white,red){OPEN};   Indoor floor heel mark removal using spark discharges and pressurized airflow
--Coatings 12 (12), 1938 pp. 1-12 (December 9, 2022). [[(DOI):https://doi.org/10.3390/coatings12121938]]
---Yoshihiro Sakamoto, Takayoshi Tsutsumi, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroshi Hashizume, and Masaru Hori

-[260] &color(white,brown){PM};   Impact of microsecond-pulsed plasma-activated water on papaya seed germination and seedling growth
--Chinese Physics B 31 (12), 128201 pp. 1-12 (December 1, 2022). [[(DOI):https://doi.org/10.1088/1674-1056/ac904e]]
---Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, ''Kenji Ishikawa'', Masuru Hori, and Seong Ling Yap

-[259] &color(white,green){BN};    Carbon Layer Formation on Hexagonal Boron Nitride by Plasma Processing in Hydroquinone Aqueous Solution
--ACS Applied Materials and Interfaces 14 (47), pp. 53413–53420 (November 17, 2022) [[(DOI):https://doi.org/10.1021/acsami.2c15951]]
---Kenichi Inoue, Noritaka Sakakibara, Taku Goto, Tsuyohito Ito, Yoshiki Shimizu, Yukiya Hakuta, ''Kenji Ishikawa'', Masaru Hori, and Kazuo Terashima

-[258] &color(white,red){OPEN}; &color(white,blue){Etch};    Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma
--Scientific Reports 12, 20394 pp. 1-13 (November 27, 2022). [[(DOI):https://doi.org/10.1038/s41598-022-24949-1]]
---Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori

-[257] &color(white,blue){FC}; &color(black,cyan){QC};    Dissociation channels of c-C4F8 to C2F4 in reactive plasma
--Japanese Journal of Applied Physics 61, 106006 pp. 1-6 (2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac895e]]
---Toshio Hayashi, ''Kenji Ishikawa'', Hiroshi Iwayama, Makoto Sekine, and Masaru Hori

-[256] &color(black,yellow){VUV};    Wide range applications of process plasma diagnostics using vacuum ultraviolet absorption spectroscopy
--Reviews of Modern Plasma Physics 6, 13 pp. 1-13 (November, 2022) [[(DOI):https://doi.org/10.1007/s41614-022-00075-3]]
---Keigo Takeda, ''Kenji Ishikawa'', and Masaru Hori

-[255] &color(white,green){C};    Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis
--Vacuum 205, 111351 pp. 1-7 (November, 2022). [[(DOI):https://doi.org/10.1016/j.vacuum.2022.111351]]
---Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori

-[254] &color(white,green){C};    In-liquid plasma synthesis of iron-nitrogen-doped carbon nanoflakes with highly catalytic activity
--Plasma Processes and Polymers 19 (8), 2100203 pp. 1-9 (August, 2022) [[(DOI):http://doi.org/10.1002/ppap.202100203]]
---Hiroki Kondo, Ryo Hamaji, Tomoki Amano, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[253] &color(white,blue){Etch};    Study of optical emission spectroscopy using modified Boltzmann plot in dual frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O2/C4F8 plasma etching process
-- Physical Chemistry Chemical Physics 24 (22), pp. 13883-13896 (June 14, 2022). [[(DOI):https://doi.org/10.1039/D2CP00289B]]
---Bibhuti Bhusan Sahu, Kazuya Nakane, ''Kenji Ishikawa'', Makoto Sekine, Takayoshi Tsutsumi, Taku Gohira, Yoshinobu Ohya, Noriyasu Ohno, and Masaru Hori

-[252] Low-temperature reduction of SnO2 by floating wire-assisted medium-pressure H2/Ar plasma
--Plasma Processes and Polymers 19 (6), 2100209 pp. 1-13 (June, 2022) [[(DOI):https://doi.org/10.1002/ppap.202100209]]
---Thi-Thuy-Nga Nguyen, Minoru Sasaki, Shih-Nan Hsiao, Takayoshi Tsutsumi, ''Kenji Ishikawa'', and Masaru Hori

-[251] &color(white,brown){PM};   Cytotoxicity of plasma-irradiated lactate solution produced under atmospheric airtight conditions and generation of the methyl amino group
--Applied Physics Express 15 (5), 056001 pp. 1-5 (April 25, 2022) [[(DOI):https://doi.org/10.35848/1882-0786/ac6360]]
---Daiki Ito, Naoyuki Iwata, ''Kenji Ishikawa'', Kae Nakamura, Hiroshi Hashizume, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

-[250] &color(white,red){OPEN}; &color(white,brown){PM};   Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells
--AIP advances 12, 025216 (pp. 1-6) (2022) [[(DOI):https://doi.org/10.1063/5.0075530]]
---Tomonori Ichikawa, ''Kenji Ishikawa'', Hiromasa Tanaka, Naohiro Shimizu, and Masaru Hori

-[249] &color(white,blue){Etch};    Plasma-assisted, thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride
--Journal of Vacuum Science and Technology B 40, 022201 (pp.1-11) (February 4, 2022). [[(DOI):https://doi.org/10.1116/6.0001660]]
---Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Yuko Hanaoka, Masaru Izawa, ''Kenji Ishikawa'', and Masaru Hori

-[248] &color(white,red){OPEN};   Perspectives on functional nitrogen science and plasma-based in situ functionalization
--Japanese Journal of Applied Physics 61 (SA), SA0802 pp. 1-11 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac3558]]
---''Kenji Ishikawa''

-[247] &color(white,red){OPEN};   Functional nitrogen science based on plasma processing: Quantum devices, photocatalysts and activation of plant defense and immune systems
--Japanese Journal of Applied Physics 61 (SA), SA0805 pp. 1-25 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac25dc]]
---Toshiro Kaneko, Hiromitsu Kato, Hideaki Yamada, Muneaki Yamamoto, Tomoko Yoshida, Pankaj Attri, Kazunori Koga, Tomoyuki Murakami, Kazuyuki Kuchitsu, Sugihiro Ando, Yasuhiro Nishikawa, Kentaro Tomita, Ryo Ono, Tsuyohito Ito, Atsushi M. Ito, Koji Eriguchi, Tomohiro Nozaki, Takayoshi Tsutsumi, and ''Kenji Ishikawa''

-[246] &color(white,red){OPEN};   Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care
--Japanese Journal of Applied Physics 61 (SA), SA0808 pp 1-19 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac1c3d]]
---Shinya Kumagai, Chikako Nishigori, Tetsuya Takeuchi, Peter Bruggeman, Keisuke Takashima, Hideki Takahashi, Toshiro Kaneko, Eun Ha Choi, Makoto Kambara, Kazuo Nakazato, and ''Kenji Ishikawa''

-[245] &color(white,brown){PM};   Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma
--Japanese Journal of Applied Physics 61 (SA), SA1007 pp. 1-7 (January 1, 2022). [[(DOI):https://doi.org/10.35848/1347-4065/ac2037]]
---Hiromasa Tanaka, Shogo Matsumura, ''Kenji Ishikawa'', Hiroshi Hashizume, Masafumi Ito, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Mikako Ito, Kinji Ohno, Yasumasa Okazaki, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori 

**Before 2021

Go to [[Old publications>Publication2]]

----

**Old selected

-[238] &color(white,red){OPEN}; &color(white,brown){PM};   Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer’s lactate
--Redox Biology 43, 101989 pp.1-12 (July, 2021) [[DOI:https://doi.org/10.1016/j.redox.2021.101989]] (Impact factor 9.986)
---Li Jiang, Hao Zheng, Qinying Lyu, Shotaro Hayashi, Kotaro Sato, Yoshitaka, Sekido, Kae Nakamura, Hiromasa Tanaka, ''Kenji Ishikawa'', Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, and Shinya Toyokuni	

-[228] &color(white,red){Free};   Insights into normothermic treatment with direct irradiation of atmospheric pressure plasma for biological applications
--Japanese Journal of Applied Physics 60, 010502 (pp.1-12) (January, 2021).  [[DOI:https://doi.org/10.35848/1347-4065/abcbd2]] in Selected Topics in Applied Physics
---Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, and ''Kenji Ishikawa''

-[161] &color(white,red){OPEN};    &color(white,blue){Etch};    Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?
--Japanese Journal of Applied Physics 57 (6S2), 06JA01 (pp. 1-18) (May 25, 2018).    [[DOI:https://doi.org/10.7567/JJAP.57.06JA01]]
---''Kenji Ishikawa'', Kazuhiro Karahashi, Tatsuo Ishijima, Sung Il Cho, Simon Elliott, Dennis Hausmann, Dan Mocuta, Aaron Wilson, and Keizo Kinoshita

-[130] &color(white,blue){Etch};    Progress and prospects in nanoscale dry processes - How can we control atomic layer reactions?
--Japanese Journal of Applied Physics 56 (6S2), 06HA02 (pp. 1-13) (June 1, 2017). Progress Review of DPS special issue.    [[DOI:https://doi.org/10.7567/JJAP.56.06HA02]] 
---''Kenji Ishikawa'', Kazuhiro Karahashi, Takanori Ichiki, Jane P. Chang, Steven M. George, W. M. M. Kessels, Hae June Lee, Stefen Tinck, Jung Hwan Um, and Keizo Kinoshita

-[116] &color(white,red){OPEN};    &color(white,brown){PM};    Non-thermal atmospheric pressure plasma activates lactate in Ringer’s solution for anti-tumor effects
--Scientific Reports 6, 36282 (pp. 1-11) (November 8, 2016).    [[DOI:https://doi.org/10.1038/srep36282]]
---Hiromasa Tanaka, Kae Nakamura, Masaaki Mizuno, ''Kenji Ishikawa'', Keigo Takeda, Hiroaki Kajiyama, Fumi Utsumi, Fumitaka Kikkawa, and Masaru Hori

-[112] &color(white,brown){PA};    Cold plasma interactions with enzymes in foods and model systems
--Trends in Food Science & Technology 55, pp. 39-47 (September 1, 2016).    [[DOI:https://doi.org/10.1016/j.tifs.2016.07.001]]
---N. N. Misra, S. K. Pankaj, Annalisa Segat, and ''Kenji Ishikawa''

-[111] &color(white,brown){PM};    Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium 
--Archives of Biochemistry and Biophysics 605, pp. 102-108 (September 1, 2016).    [[DOI:https://doi.org/10.1016/j.abb.2016.01.011]]
---Naoyuki Kurake, Hiromasa Tanaka, ''Kenji Ishikawa'', Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

-[98] &color(white,red){OPEN};    &color(white,brown){PM};    EPR-Spin Trapping and Flow cytometric Studies of Free Radicals Generated using Cold Atmospheric Argon Plasma and X-ray irradiation in Aqueous Solutions and Intracellular Milieu
--PLoS One 10 (8), e0136956 (pp. 1-19) (August 28, 2015).    [[DOI:https://doi.org/10.1371/journal.pone.0136956]]
---Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ali Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori, and Takashi Kondo

-[81] &color(white,red){Free};    &color(white,brown){PM};    Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells.
--Plasma Medicine 2 (4), pp. 207-220 (July 2014).    [[DOI:https://doi.org/10.1615/PlasmaMed.2013008267]]
---Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

-[74] &color(white,green){C};    Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties
--Carbon 68, pp. 380-388 (March 2014).    [[DOI:https://doi.org/10.1016/j.carbon.2013.11.014]]
---Hyung Jun Cho, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

-[71] &color(white,red){OPEN};    &color(white,brown){PM};    Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo
--PLoS ONE 8 (12), e81576 (pp. 1-10) (December 18, 2013).    [[DOI:https://doi.org/10.1371/journal.pone.0081576]]
---Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

-[44] &color(white,red){ESR};    &color(white,brown){PA};    Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas
--Applied Physics Letters 101 (1), 013704 (pp. 1-4) (July 2, 2012).    [[DOI:https://doi.org/10.1063/1.4733387]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6917]]
---''Kenji Ishikawa'', Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

-[34] &color(white,red){ESR};    Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study
--Journal of Physical Chemistry Letters 2, pp. 1278-1281 (2011).    [[DOI:https://doi.org/10.1021/jz2002937]], [[Manuscript arXiv:http://arxiv.org/abs/1206.6920]]
---''Kenji Ishikawa'', Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori 

**Before 2021

Go to [[Old publications>Publication2]]

#include(Footer,notitle)