ProceedingIntern12 の変更点


#author("2020-11-20T22:46:07+09:00","default:ishikawa","ishikawa")
#include(MenuTab1,notitle)

2012年の国際会議リスト

-176) Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--(Best poster award) Modification of ArF photoresist caused by irradiation of fluorocarbon plasma-beam.
---The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-NanoMaterials, (Kyushu University, Fukuoka, Japan, Jan. 7-8, 2012).
-177) Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Toshio Hayashi, Makoto Sekine, and Masaru Hori.
--Mechanism of generating ions and radicals in C3F6O plasma.
---The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-NanoMaterials, (Kyushu University, Fukuoka, Japan, Jan. 7-8, 2012).
-178) Keigo Takeda, Atsushi Fukushima, Yusuke Abe, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Surface loss probability of hydrogen radical on silicon thin film in SiH4/H2 plasma CVD.
---8th EU-Japan Joint Symposium on Plasma Processing (JSPP2012) at Nara, Japan
-179) Masaru Hori, Makoto Sekine, Hiroki Kondo, ''Kenji Ishikawa'', Keigo Takeda, and Mineo Hiramatsu.
--(INVITED) Advanced plasma nano science and technology for synthesis of nano-graphenes.
---iPlasmaNano-III, (Nanyang Executive Centere, Singapore,  Feb. 26 - Mar. 1, 2012). K-5
-180) Makoto Sekine, Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Yuichi Setsuhara, Masaharu Shiratani, and Masaru Hori.
--(INVITED) Formation of 10-nm organic pillars by plasma etch with Pt particle masks and their field emission properties.
---iPlasmaNano-III, (Nanyang Executive Centere, Singapore,  Feb. 26 - Mar. 1, 2012), I-22.
-181) Atsushi Fukushima, Yusuke Abe, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Relationship between silicon thin film property and flux ratio of H radical to silicon growth precursor in SiH4/H2 plasma CVD.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), 5p-A04OA, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-182) Hiroshi Hashizume, Takayuki Ohta, T. Mori, Masafumi Ito, Fendong Jia, Keigo Takeda, ''Kenji Ishikawa'', and Masaru Hori.
--Effect of atomic oxygen on inactivation of spores of P. digitatum by low-temperature atmospheric-pressure plasma.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), 7a-A05OA, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-183) Shang Chen, Yi Lu, Ryosuke Kometani, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--The role of hydrogen radical on plasma damaged gallium nitride.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), 5p-C06OC, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-184) Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, and Masaru Hori.
--Field emission properties of 10-nm organic nanopillars fabricated by H2/N2 mixture gas plasma etching.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), 7a-C06OC, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-185) Tatsuya Hagino, Hiroyuki Kano, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Effect of electrode materials on synthesis of nano-graphene by alcohol in-liquid plasma.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), 8a-S06OD, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-186) Takehiro Hiraoka, Noboru Ebizuka, Keigo Takeda, Takayuki Ohta, ''Kenji Ishikawa'', Masafumi Ito, K. Kawase, Makoto Sekine, and Masaru Hori.
--Terahertz time-domain spectroscopy for Penicillium digitatum sterilization using non-equilibrium atmospheric pressure plasma.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P1021A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-187) ''Kenji Ishikawa'', Sachiko Iseki, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroyuki Kano, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Real time electron spin resonance observation of Penicillium digitatum spores interacted with plasma.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P1023A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-188) Yusuke Abe, Atsushi Fukushima, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Loss kinetics of hydrogen radicals in silane plasma.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2009A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-189) Naoya Sumi, ''Kenji Ishikawa'', Hideo Horibe, Akihiko Kono, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Analysis of surface reactions mechanism on organic materials with H radical irradiation by real-time / in-situ electron spin resonance.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2010A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-190) Masanori Kato, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Three dimensional investigation of activated species in O2/Ar nonequilibrium atmospheric pressure plasma.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2011A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-191) Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Toshio Hayashi, Makoto Sekine, and Masaru Hori.
--Mechanism of generating ions and radicals in C3F6O plasma.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2029A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-192) Ryosuke Kometani, Shang Chen, Yi Lu, Cao David, ''Kenji Ishikawa'', Hiroki Kondo, Takashi Egawa, Hiroshi Amano, Makoto Sekine, and Masaru Hori.
--Interactions with plasmas on gallium nitride at high temperature.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2037A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-193) Yi Lu, Shang Chen, Ryosuke Kometani, ''Kenji Ishikawa'', Hiroshi Kondo, Keigo Takeda, Makoto Sekine, Takashi Egawa, Hiroshi Amano, and Masaru Hori.
--Depth profiles on stoichiometry of plasma-etched GaN.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2038A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-194) Tatsuya Komuro, Keigo Takeda, ''Kenji Ishikawa'', Makoto Sekine, Y. Ohya, Hiroki Kondo, and Masaru Hori.
--Effect of DC-bias superposed to the upper electrode of dual-frequency capacitively coupled plasma.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2039A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-195) Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', A. Yamazaki, A. Ito, H. Matsumoto, Makoto Sekine, and Masaru Hori.
--Clarification of highly selective SiO2 etching process using C5HF7 gas.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2040A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-196) Kohei Asano, Hiroshi Yamamoto, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', A. Yamazaki, A. Ito, H. Matsumoto, Makoto Sekine, and Masaru Hori.
--Formation of smooth surface on 193 nm photoresist by C5HF7/O2/Ar plasma.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2041A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-197) Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--In situ XPS anaysis of ArF photoresist surface modified by fluorocarbon ions and radicals.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2042A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-198) Ya Lu, Atsushi Fukushima, Yusuke Abe, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Effect of hydrogen radical injection on plasma enhanced chemical vapor film deposition of microcrystalline silicon.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P3021A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-199) Leoyung. Yu, Jun Kuki, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Crystallographic analysis of amorphous carbon films synthesized by radical-injection plasma-enhanced chemical vapor deposition.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P3018A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-200) Jun Kuki, Leoyung Yu, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Effects of RF bias on optical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P3026A, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-201) Hironao Shimoeda, Hiroki Kondo, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori.
--Evaluation of crystallographic properties of carbon nanowalls by Raman spectroscopy.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P3086C, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-202) Tsuyoshi Horibe, S. Mitsuguchi, Hiroyuki Kano, Hiroki Kondo, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori.
--Formation and characteristics of TiO2 nanoparticles-supported carbon nanowalls fabricated employing supercritical fluid.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P3088C, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-203) H. Cho, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Electrical properties and crystalline structures of carbon nanowalls grown by CH4/H2 plasma.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P3089C, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-204) Shinpei Amasaki, Takuya Takeuchi, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Noriko Sakurai, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, and Masaru Hori.
--Investigation of reaction mechanism at sidewall of through si via (TSV) etching.
---4th International Symposium on Advance Plasma Science and its Application (ISPlasma2012), P2119A-LN, (Chubu University, Kasugai Japan, Mar. 4-8, 2012).
-205) Shang Chen, Yi Lu, Ryosuke Kometani, ''Kenji Ishikawa'', Hiroki Kondo, Hiroyuki Kano, Yutaka Tokuda, Makoto Sekine, Takashi Egawa, Hiroshi Amano, and Masaru Hori.
--Photoluminescence recovery of plasma damaged GaN by hydrogen radical annealing.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-42.
-206) Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', A. Ito, T. Suzuki, H. Matsumoto, Makoto Sekine, and Masaru Hori.
--Clarification of mechanisms of highly selective SiO2 etching process using C5HF7 gas.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-40.
-207) Yi Lu, Shang Chen, Ryosuke Kometani, ''Kenji Ishikawa'', Hiroki Kondo, Keigo Takeda, Makoto Sekine, Takashi Egawa, Hiroshi Amano, and Masaru Hori.
--Stoichiometry protection to as-etched GaN surface using high temperature N2 plasma assistance.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-41.
-208) Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, and Masaru Hori.
--Subsequent temporal change of gaseous radical density in H2/N2 plasmas after different processes.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-31.
-209) Shinpei Amasaki, Takuya Takeuchi, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, Masaru Hori, N. Sakurai, Hisataka Hayashi, Itsuko Sakai, and T. Ohiwa.
--Influence of SiF4 gas addition to sidewall reaction during deep si etching using SF6/O2 plasma.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-37.
-210) Kohei Asano, Hiroshi Yamamoto, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Modification of Si-O-Si structures on porous-SiOCH films by O2 plasma exposure and air exposure.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-56.
-211) Ryosuke Kometani, Shang Chen, Yi Lu, Cao David, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Takashi Egawa, Hiroshi Amano, Makoto Sekine, and Masaru Hori.
--Morphology on GaN exposed to plasmas at high temperature.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-43.
-212) Atsushi Fukushima, Yusuke Abe, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Relationship between silicon thin film property and flux ratio of H radical in SiH4/H2 plasma CVD.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-60.
-213) Ya Lu, Atsushi Fukushima, Yusuke Abe, Y. J. Kim, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--The effect of the deposition rate of microcrystalline silicon deposition using a hydrogen radical injection plasma source.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-61.
-214) Hiroko Moriyama, ''Kenji Ishikawa'', Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--ESR signals arisen from Penicillium digitatum spores.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-20.
-215) Hiromasa Tanaka, Kae Nakamura, Sachiko Iseki, H. Kajiyama, Hiroko Moriyama, ''Kenji Ishikawa'', Hiroyuki Kano, F. Kikkawa, M. Mizuno, and Masaru Hori.
--Plasma-activated medium effectively killed glioma cancer cells.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-21.
-216) Fengdong Jia, Keigo Takeda, ''Kenji Ishikawa'', Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Behaviours of reactive oxygen species generated by an Ar/O2 atmospheric pressure plasma jet.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-22.
-217) Noboru Ebizuka, Minoru Sasaki, W. Aoki, A. Bianco, F. Maria Zerbi, Makoto Sekine, ''Kenji Ishikawa'', Hiroki Kondo, and Masaru Hori.
--Fabrication methods for volume binary grating and novel immersion grating by means of MEMS technologies.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-26.
-218) Satomi Tajima, ''Kenji Ishikawa'', Toshio Hayashi, and Masaru Hori.
--Development of a Si dry chemical etching technique using NO/F2 gas mixtures without using plasmas.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-39.
-219) Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, Akihiro Kono, and K. Suu.
--O2 and N2 addition effect for Si etching in CF4 plasma.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-38.
-220) Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Fengdong Jia, Keigo Takeda, ''Kenji Ishikawa'', and Masaru Hori.
--Efficiency of ground-state atomic oxygen for inactivation of P. digitatum spores evaluated by atmospheric pressure oxygen radical source.
---5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2012), (Inuyama, Japan, Mar. 9-10, 2012), P-19.
-221) ''Kenji Ishikawa'', Sachiko Iseki, Hiroko Moriyama, Hiromasa Tanaka, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Electron spin resonance (ESR) observation of radicals on biological organism interacted with plasmas.
---2012 Spring Meeting of the Material Research Society, (San Francisco, USA, April 9 - 13, 2012), WW2.6
-222) Takehiro Hiraoka, Noboru Ebizka, Keigo Takeda, Takayuki Ohta, ''Kenji Ishikawa'', Masafumi Ito, Kodo Kawase, Makoto Sekine, and Masaru Hori.
--Terahertz time-domain spectroscopic sensing of Penicillium digitatum inactivated by non-equilibrium atmospheric pressure plasmas.
---2012 Spring Meeting of the Material Research Society, (San Francisco, USA, April 9 - 13, 2012), WW7.3
-223) Yusuke Abe, Masaru Hori, Atuschi Fukushima, Lu Ya, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', M. Sekine.
--Measurement of the flux ratio of hydrogen atom to film precursor for microcrystalline silicon solar cell.
---5th International Workshop on Plasma Spectroscopy (IPS), (France, May 13 - 16, 2012), 2-4.
-224) Hiroki Kondo, T. Hagino, ''Kenji Ishikawa'', H. Kano, M. Sekine, M. Hori.
--Critical factors of synthesis rate and crystallinity of nanographene in ultrahigh-speed nanographene synthesis employing alcohol liquid plasma.
---4th International Conference on Microelectronics and Plasma Technology (ICMAP 2012), (Jeju, Korea, 2012), ON-03.
-225) H. Shimoeda, H. Kondo, ''Kenji Ishikawa'', M. Hiramatsu, M. Sekine, M. Hori.
--Edge and surface modification of nanographenes in carbon nanowalls by hydrogen peroxide treatments.
---4th International Conference on Microelectronics and Plasma Technology (ICMAP 2012), (Jeju, Korea, 2012).
-226) Makoto Sekine, Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Y. Setsuhara, M. Shiratani, and M. Hori.
--(PLENARY) Formation of 10-nm organic pillars by plasma etch with Pt particle masks and their field emission properties.
---The 15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics, (Sungkyunkwan University, Korea, June 7-8, 2012). 
-227) T. Tsutsumi, M. Hori, M. Sekine, ''Kenji Ishikawa'', K. Takeda, H. Kondo, T. Ohta, and M. Ito.
--High resolution temperature monitoring system of semiconductor substrate using fourier domain low coherence interferometer.
---The 15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics, (Sungkyunkwan University, Korea, June 7-8, 2012). S02.
-228) Y. Kondo, Y, Miyawaki, ''Kenji Ishikawa'', T. Hayashi, M. Sekine, K. Takeda, H. Kondo, and M. Hori.
--Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas.
---The 15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics, (Sungkyunkwan University, Korea, June 7-8, 2012). S11.
-229) Hiroshi Hashizumi, Takayuki Ohta, Masafumi Ito, Fengdong Jia, Keigo Takeda, ''Kenji Ishikawa'', and Masaru Hori.
--Inactivation efficiencies of reactive oxygen species on spores by atmospheric‐pressure O2/Ar plasma inactivation of Penicilium degitatum.
---International Conference on Plasma Science, (Edinburgh, UK, July 2012) July 9, 16:45 2E-5.
-230) Makoto Sekine, Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Toshio Hayashi, and Masaru Hori.
--Anaysis of photoresist surface modified by fluorocarbon ions and radicals.
---International Conference on Plasma Science, (Edinburgh, UK, July 2012) July 10, 2P-116.
-231) Masaru Hori, ''Kenji Ishikawa'', Naoya Sumi, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Akihiko Kono, and Hideo Horibe.
--Real time in situ electron spin resonance (ESR) study of surface reaction on polymer interacted with plasma.
---International Conference on Plasma Science, (Edinburgh, UK, July 2012) July 10, 2P-186.
-232) ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroko Moriyama, Sachiko Iseki, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, and Hiroyuki Kano.
--Electron spin resonance (ESR) study of radicals on biological organism created by interaction with plasma.
---International Conference on Plasma Science, (Edinburgh, UK, July 10, 2012), 2P-187.
-233) Masaru Hori, Hiroki Kondo, Tatsuya Hagino, ''Kenji Ishikawa'', Keigo Takeda, Hiroyuki Kano, and Makoto Sekine.
--High-speed synthesis and crystalinity control of nanographene using in-liquid plasma in alcohol.
---XXI Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESSCAMPIG), (Viana do Castelo, Portugal, July 10-14, 2012)
-234) M. Hori , M. Tanaka, ''Kenji Ishikawa'', H. Kondo, K. Takeda, M. Sekine, F. Kikkawa, K. Nakamura, M. Hayashi, H. Kajiyama, M. Mizuno, H. Ohta, M. Ito, and H. Kano.
--(PLENARY) Atmospheric pressure plasma processes and their diagnostics for life sciences.
---The 2nd International Symposium for Plasma Biosciences, Plasma Bioscience Research Center, Kwangwoon University, Seoul, Korea, Aug. 12-14, 2012
-235) T. Takeuchi, M. Sekine, ''K. Ishikawa'', H. Kondo, K. Takeda and M. Hori.
--Investigation of modification of ArF photoresist during plasma etching processes.
---14th Annual TECHCON Conference Technology & Talent for the 21st Century, (Renaissance Austin Hotel, Austin, Texas, Sep. 10-11, 2012), 3. 6.
-236) H. Kondo, K. Yasuda, ''K. Ishikawa'', M. Sekine, M. Hiramatsu, M. Hori.
--Gradual transition of chemical structures at initial growth stage of carbon nanowalls.
---The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition, (Warsaw University of Technology, Warsaw, Poland , September 17-21, 2012).
-237) ''Kenji Ishikawa'', Hiroko Moriyama, Naoya Sumi, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Akihiko Kono, Hideo Horibe, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--(INVITED) Real-time / in-situ electron spin resonance analysis of surface reactions on organic / biological materials interacted with plasmas.
---International Univon of Materials Research Societies (IUMRS), International Conference on Electronic Materials(ICEM), September 23-28, 2012, Yokohama, Japan, C-8-I26-010
-238) Satomi Tajima, ''Kenji Ishikawa'', Toshio Hayashi, and Masaru Hori.
--Chemical dry etching of poly-Si and single crystal Si using NO and F2 gas mixture without using plasmas.
---International Univon of Materials Research Societies (IUMRS), International Conference on Electronic Materials(ICEM), September 23-28, 2012, Yokohama, Japan, C-8-O27-004
-239) Ryosuke Kometani, ''Kenji Ishikawa'', Shang Chen, Yi Lu, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Hiroshi Amano, and Masaru Hori.
--Surface roughness on plasma-etched gallium nitride (GaN).
---International Univon of Materials Research Societies (IUMRS), International Conference on Electronic Materials(ICEM), September 23-28, 2012, Yokohama, Japan, D-4-P25-012
-240) A. Malinowski, Makoto Sekine, Masaru Hori, ''Kenji Ishikawa'', Hiroki Kondo, Takuya Takeuchi, Toshiya Suzuki, J. Jakbowski, and L. Lukasiak.
--Investigation of hydrogen radical kinetics during ArF 193nm photoresist processing for ultimate CMOS technology development.
---International Univon of Materials Research Societies (IUMRS), International Conference on Electronic Materials(ICEM), September 23-28, 2012, Yokohama, Japan, D-4-O25-003
-241) Toshiya Suzuki, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, and Masaru Hori.
--Field electron emitter fabricated by plasma etching of organic material.
---International Univon of Materials Research Societies (IUMRS), International Conference on Electronic Materials(ICEM), September 23-28, 2012, Yokohama, Japan, D-4-O25-005
-242) Takuya Takeuchi, Shinpei Amasaki, Makoto Sekine, Keigo Takada, Hiroki Kondo, ''Kenji Ishikawa'',  and Masaru Hori.
--In situ XPS anaysis of ArF photoresist modification caused by fluorocarbon ions and radicals.
---International Univon of Materials Research Societies (IUMRS), International Conference on Electronic Materials(ICEM), September 23-28, 2012, Yokohama, Japan, D-4-P25-009
-243) Takanao Shimoeda, Hiroki Kondo, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori.
--Effects of hydrogen peroxide treatment on nanostructures in carbon nanowalls.
---International Univon of Materials Research Societies (IUMRS), International Conference on Electronic Materials(ICEM), September 23-28, 2012, Yokohama, Japan, D-4-O24-012
-244) T. Ohta, H. Hashizume, M. Ito, K. Takeda, ''Kenji Ishikawa'', M. Hori.
--Oxidative inactivation mechanism of fungal spores employing atmospheric-pressure oxygen radical source.
---International Univon of Materials Research Societies (IUMRS), International Conference on Electronic Materials(ICEM), September 23-28, 2012, Yokohama, Japan, 
-245) H. J. Cho, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Mineo Hiramatsu, and Masaru Hori.
--Edge termination on electrical properties of vertically-grown graphene sheets employing N2/H2 mixture plasma.
---2012 International Conference on Solid State Devices and Materials (SSDM 2012), Kyoto International Conference Center, Kyoto, Japan, September 25-27, 2012, PS-13-13
-246) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori .
--(Award) Analysis of the intracellular molecular mechanisms of plasma-activated medium mediated cell death in glioma brain tumor cells.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 1L-O05
-247) A. Fukushima, Y. Abe, Y. Lu, K. Takeda, H. Kondo, ''Kenji Ishikawa'', M. Sekine, and M. Hori .
--Evaluation of relationship between μc-Si film property and flux ratio of H radicals to film precursors.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2Gr-O07
-248) Yusuke Abe, Atsushi Fukushima, Ya Lu, Youn Joon Kim, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori.
--Spectroscopic determination of radical densities in SiH4/H2 plasma.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 1-P19
-249) Takayoshi Tsutsumi, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori.
--Non-contact temperature measurement of sapphire substrate for GaN using frequency domain low coherence interferometry.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 1-P26
-250) Takeyoshi Horibe, Hiroki Kondo, Hiroyuki Kano, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, Masaru Hori.
--Two-step supporting of high-density metal nanoparticle catalysts on carbon nanowalls using supercritical fluid.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 1-P85
-251) Lu Ya, Astushi Fukushima, Yusuke Abe, Youn J. Kim, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori .
--Microcrystal orientation of silicon thin film deposited with hydrogen radical injection plasma enhanced CVD.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P14
-252) Kuangda Sun, Keigo Takeda, Hiroki Kondo, Satomi Tajima, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori, and Hitoshi Itoh.
--The formation of the insulating film with atmospheric pressure plasma-enhanced chemical vapor deposition by hexamethyldisiloxan mist.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P17
-253) T. Komuro, K. Takeda, ''Kenji Ishikawa'', M. Sekine, Y. Ohya, H. Kondo, and M. Hori.
--Highly selective etching of dielectrics over SiC film by dc superposed dual-frequency CCP.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P18
-254) Kohei Asano, Yudai Miyawaki, ''Kenji Ishikawa'', Makoto Sekine, Keigo Takeda, Azumi Ito, Hirokazu Matsumoto, Hiroki Kondo, and Masaru Hori.
--Mechanism and control of roughness formation on ArF photoresist by C5HF7 etching plasma.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P19
-255) Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Azumi Ito, Hirokazu Matsumoto, M. Sekine, and M. Hori.
--Studies on plasma etching of Si3N4 employing new alternative gas, C5HF7.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P20
-256) Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Toshio Hayashi, Makoto Sekine, Masaru Hori.
--Generation mechanism of ions and radicals in CHxFy etching plasmas.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P21
-257) Jongyun Park, Takuya Takeuchi, Jiadong Cao, ''Kenji Ishikawa'', Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Change of GaAs surface properties by irradiation of Cl2 ions.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P23
-258) Ryosuke Kometani, Shang Chen, Yi Lu, David Cao, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Takashi Egawa, Horishi Amano, Makoto Sekine, and Masaru Hori.
--Observation of plasma-exposed GaN surface at high temperature.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P24
-259) Z. Liu, S. Chen, Y. Lu, R. Kometani, ''Kenji Ishikawa'', Hiroyuki Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, and M. Hori.
--Recovery of plasma-damaged GaN by employing exposure of radicals.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P25
-260) Toshiya Suzuki, Arkadiusz Malinowski, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, and Masaru Hori.
--Influence of last condition in plasma reactor on gaseous radical density.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P26
-261) Takehiro Hiraoka, Keigo Takeda, Takayuki Ohta, Noboru Ebitsuka, Hiroki Kondo, ''Kenji Ishikawa'', Kodo Kawase, Masafumi Ito, Makoto Sekine, and Masaru Hori.
--Optical properties of carbon nano walls in terahertz frequencies region.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P45
-262) J. Cao, R. Kometani, J. Park, Y. Lu, S. Chen, ''Kenji Ishikawa'', M. Sekine, M. Hori.
--Research on plasma etching of gallium based compound semiconductor.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P46
-263) Youn Joon Kim, Yi Lu, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori .
--Low temperature deposition and characteristics of GaN thin film by HF-PECVD.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P80
-264) Masaru Hori, Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Young Joon Kim, and Makoto Sekine.
--Reconsideration on effects of H radicals on thin film surfaces in plasma enhanced chemical vapor deposition employing SiH4/H2 gases.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P96
-265) Satomi Tajima, Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Surface reaction of F2, NO, F, and FNO on Si during the plasmaless Si etching in NO/F2 gas mixture.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 2-P126
-266) Hiroshi Hashizume, Susumu Nagano, Takayuki Ohta, Masafumi Ito, Keigo Takeda, ''Kenji Ishikawa'', Masaru Hori.
--Disinfection of fungal spores by atmospheric pressure radical source.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 3P-03
-267) ''Kenji Ishikawa'', Hiroko Mizuno, Hiromasa Tanaka, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--Real-time electron spin resonance study on fungal spores during inactivation.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 3P-04
-268) Leyong Yu, Jun Kuki, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, Masaru Hori.
--Effect of nitrogen doping on photoconductivity of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 3P-125
-269) Hironao Shimoeda, Hiroki Kondo, ''Kenji Ishikawa'', Mineo Hiramatsu, Makoto Sekine, and Masaru Hori.
--Effects of hydroxyl radical on nanographene surfaces in carbon nanowalls.
---APCPST 25th SPSM, October 2-5, 2012, Kyoto Japan, 3P-129
-270) Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Akihiko Kono, Tatsuo Ishijima, ''Kenji Ishikawa'', Masaru Hori, and Hideo Horibe.
--Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals.
---7th International Conference on Hot-Wire Chemical Vapor Deposition (HWCVD-7), Osaka, (October 8-12, Japan)
-271) Akihiko Kono, Yu Arai, Yousuke Goto, Seiji Takahashi, ''Kenji Ishikawa'', Masaru Hori, and Hideo Horibe.
--Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer.
---7th International Conference on Hot-Wire Chemical Vapor Deposition (HWCVD-7), Osaka, (October 8-12, Japan)
-272) Keigo Takeda, Jerome Jolibois, ''Kenji Ishikawa'', Hiromasa Tanaka, Hiroyuki Kano, Makoto Sekine, and Masaru Hori.
--Measurement of activated species generated by 60 Hz excited atmospheric pressure Ar plasma in atmospheric gas.
---65th Annual Gaseous Electronics Conference (GEC) October 22–26, 2012; Austin, Texas, USA. UF3.00005
-273) Takayoshi Tsutsumi, Takehiro Hiraoka, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori.
--Temperature measurement of substrate with a thin film using low-coherence interference.
---65th Annual Gaseous Electronics Conference (GEC) October 22–26, 2012; Austin, Texas, USA. UF3.00001
-274) Makoto Sekine, Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Yuichi Setsuhara, Masaharu Shiratani, and Masaru Hori.
--Precise plasma process control based on combinatorial plasma etching.
---65th Annual Gaseous Electronics Conference (GEC) October 22–26, 2012; Austin, Texas, USA. NW1.00030
-275) Hiroki Kondo, Tatusya Hagino, Keigo Takeda, ''Kenji Ishikawa'', Hiroyuki Kano, Makoto Sekine, and Masaru Hori.
--Study on synthesis processes and crystallinity changes of nanographene materials synthesized by alcohol liquid-plasma.
---65th Annual Gaseous Electronics Conference (GEC) October 22–26, 2012; Austin, Texas, USA. NW1.00055
-276) ''Kenji Ishikawa'', Yusuke Kondo, Yudai Miyawaki, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
--Reaction model for etching surface interacted with hydrofluorocarbon plasmas.
---65th Annual Gaseous Electronics Conference (GEC) October 22–26, 2012; Austin, Texas, USA.  NW1.00076
-277) ''Kenji Ishikawa'', S. Chen, K. Takeda, H. Kondo, M. Sekine, and M. Hori.
--(INVITED) Healing process of plasma-damaged gallium nitride (GaN).
---International conference on emerging advanced nanomaterials (ICEAN), Mercure Hotel, Brisbane, Australia, (October 22- 25, 2012) 2B‐IL‐5
-278) J. S. Kularatne, Hiroyuki Kano, Masafumi Ito, Takayuki Ohta, Keigo Takeda, ''Kenji Ishikawa'', Hiroki Kondo, Makoto Sekine, and Masaru Hori.
--(title) AC励起大気圧プラズマを用いた下水モニタリング装置の開発.
---5th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Science Exchange Plaza, Nagoya Science Park, Nagoya, Japan, October 24, 2012 
-279) Hiromasa Tanaka, Masaaki Mizuno, ''Kenji Ishikawa'', Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori.
--(title) プラズマ培養液による脳腫瘍細胞のアポトーシス誘導.
---5th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Science Exchange Plaza, Nagoya Science Park, Nagoya, Japan, October 24, 2012 
-280) Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Feng-Dong Jia, Keigo Takeda, ''Kenji Ishikawa'', and Masaru Hori.
--(title) ミドリカビ胞子細菌における活性酸素の定量的解析.
---5th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Science Exchange Plaza, Nagoya Science Park, Nagoya, Japan, October 24, 2012 
-281) ''Kenji Ishikawa'', Hideo Horibe, Masafumi Ito, Makoto Sekine, and Masaru Hori.
--(INVITED) Real time in situ electron spin resonance (ESR) study of free radicals on materials created by plasmas.
---American Vacuum Society (AVS), Tampa, Florida, USA, (October 28-November 2, 2012), PS2-TuA7
-282) Yusuke Kondo, Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Toshio Hayashi, Makoto Sekine, Masaru Hori.
--Mechanism of generating ions and radicals in fluorocarbon plasma investigated by reaction model analysis.
---American Vacuum Society (AVS), Tampa, Florida, USA, (October 28-November 2, 2012), PS2-MoA7 
-283) Toshiya Suzuki, Toshiya Suzuki, A. Malinowski, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Yuichi Setuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori.
--Subsequent temporal change of gaseous h and n radical density in plasma after different processes.
---American Vacuum Society (AVS), Tampa, Florida, USA, (October 28-November 2, 2012), PS1-TuM5
-284) R. Kometani, S. Chen, J. Park, J. Cao, Y. Lu, ''Kenji Ishikawa'', Keigo Takeda, Hiroki Kondo, Hiroshi Amano, Makoto Sekine, Masaru Hori.
--Control of surface properties on plasma-etched gallium nitride (GaN).
---American Vacuum Society (AVS), Tampa, Florida, USA, (October 28-November 2, 2012), PS2-ThM5
-285) Satomi Tajima, Toshio Hayashi, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Evaluation of surface chemical bonding state and surface roughness of chemical dry etched Si using NO and F2 gas mixture.
---American Vacuum Society (AVS), Tampa, Florida, USA, (October 28-November 2, 2012), PS-ThP25
-286) Arkadiusz Malinowski, Makoto Sekine, Masaru Hori, ''Kenji Ishikawa'', Hiroki Kondo, Takuya Takeuchi, Toshiya Suzuki, A. Jakubowski, L. Lukasaak, T. Tomaszewski.
--Investigation of plasma-surface interactions between hydrogen radical and chemically amplified photoresist.
---American Vacuum Society (AVS), Tampa, Florida, USA, (October 28-November 2, 2012), PS2-WeA3
-287) Hiroki Kondo, T. Kanda, Mineo Hiramatsu, ''Kenji Ishikawa'', Makoto Sekine, and Masaru Hori.
--Crystalline and electrical properties of vertically-laminated carbon nanowalls formed by two-step growth method.
---American Vacuum Society (AVS), Tampa, Florida, USA, (October 28-November 2, 2012), GR+AS+NS+SS-ThM9
-288) M. Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, ''Kenji Ishikawa'', Makoto Sekine, H. Matsugai, T. Honda, M. Minami, F. Uesawa, Masaru Hori, and Tetsuya Tatsumi.
--Interface trap generation by VUV/UV radiation from fluorocarbon plasma.
---American Vacuum Society (AVS), Tampa, Florida, USA, (October 28-November 2, 2012), PS-ThP14
-289) ''Kenji Ishikawa'', H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori.
--Plasma-biological surface interaction for food hygiene: real-time in situ electron spin resonance measurements.
---The 34th International Symposium on Dry Process (DPS), Takada Hall, The University of Tokyo, Japan (November 15-16, 2012), B-3
-290) S. Tajima, T. Hayashi, ''Kenji Ishikawa'', M. Sekine, and M. Hori.
--Evaluation of gas-surface reaction dynamics during the plasmaless Si etching using NO/F2 gas mixture.
---The 34th International Symposium on Dry Process (DPS), Takada Hall, The University of Tokyo, Japan (November 15-16, 2012), C-3
-291) Y. Abe, A. Fukushima, Y. Lu, Y. Kim, K. Takeda, H. Kondo, ''Kenji Ishikawa'', M. Sekine, and M. Hori.
--High performances of microcrystalline Si thin film formation for a solar cell by measurement and control of hydrogen radicals in the SiH4/H2 plasma.
---The 34th International Symposium on Dry Process (DPS), Takada Hall, The University of Tokyo, Japan (November 15-16, 2012), E-2
-292) Z. Liu, S. Chen, Y. Lu, R. Kometani, ''Kenji Ishikawa'', H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, and M. Hori.
--An in-situ sequential H and N radical exposure process for recovery of plasma-damaged GaN.
---The 34th International Symposium on Dry Process (DPS), Takada Hall, The University of Tokyo, Japan (November 15-16, 2012), H-3
-293) M. Fukasawa, H. Matsugai, T. Honda, Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo, ''Kenji Ishikawa'', M. Sekine, K. Nagahata, F. Uesawa, M. Hori, and T. Tatsumi.
--Photon-stimulated surface reaction and generation of damage to hydrogenated silicon nitride in fluorocarbon plasma.
---The 34th International Symposium on Dry Process (DPS), Takada Hall, The University of Tokyo, Japan (November 15-16, 2012), H-1
-294) T. Komuro, K. Takeda, ''Kenji Ishikawa'', M. Sekine, Y. Ohya, H. Kondo, and M. Hori.
--Highly selective etching of gap-fill dielectrics over SiC and SiN by the dc-bias superposed dual-frequency CCP.
---The 34th International Symposium on Dry Process (DPS), Takada Hall, The University of Tokyo, Japan (November 15-16, 2012), P-14
-295) T. Hayashi, ''Kenji Ishikawa'', M. Sekine, M. Hori.
--Quantum chemical investigations for excitation dissociations of C5F8 and C5HF7 etching gases.
---The 34th International Symposium on Dry Process (DPS), Takada Hall, The University of Tokyo, Japan (November 15-16, 2012), P-16
-296) K. Asano, Y. Miyawaki, ''Kenji Ishikawa'', M. Sekine, K. Takeda, A. Ito, H. Matsumoto, H. Kondo, and M. Hori.
--A reduction of degradation on ArF photoresist by C5HF7 plasma etching and its mechanism.
---The 34th International Symposium on Dry Process (DPS), Takada Hall, The University of Tokyo, Japan (November 15-16, 2012), P-17
-297) Y. Miyawaki, Y. Kondo, M. Sekine, ''Kenji Ishikawa'', T. Hayashi, K. Takeda, A. Ito, H. Matsumoto, and M. Hori.
--Studies on plasma etching of Si3N4 in capacitively coupled plasma employing C5HF7.
---The 34th International Symposium on Dry Process (DPS), Takada Hall, The University of Tokyo, Japan (November 15-16, 2012), P-18
-298) R. Kometani, S. Chen, M. Liu, ''Kenji Ishikawa'', H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, and M. Hori.
--A high temperature plasma etching of GaN and its reaction mechanism.
---The 34th International Symposium on Dry Process (DPS), Takada Hall, The University of Tokyo, Japan (November 15-16, 2012), P-61

----
#include(MenuTab2,notitle)
#include(Footer,notitle)