November 28-30, 2005

Current and Future Memory Technology

U-In Chung (Samsung Electronics)

1

Contact Hole Etching Challenges for Nano Era

S.K. Lee, M.S. Lee, K.S. Shin, Y.C. Kim, J.H. Sun, T.W. Jung, D.D. Lee, S.C. Moon, and J.W. Kim (Hynix Semiconductor)

3

Etching Characteristics and Modeling for Oval-Shape Contact

S.C. Park, S. Lim, C.H. Shin, G. J. Min, C. J. Kang, H.K. Cho, and J.T. Moon (Samsung Electronics)

5

Dependence of Plasma-Induced Modification of Surfaces on Polyatomic Ion Chemistry

Inkook Jang, Wen-Dung Hsu, and Susan B. Sinnott (University of Florida)

7

Improvement in Gate LWR with Plasma Curing of ArF Photoresist

A. Ando, E. Matsui, N. Matsuzawa, Y. Yamaguchi, K. Kugimiya, M. Yoshida, K.M.A. Salam, and T. Tatsumi (Sony Corporation)

9

Sub-55-nm Etch Process Using Stacked-Mask Process

J. Abe, H. Hayashi, Y. Taniguchi, H. Kato, Y. Onishi, I. Sakai, and T. Ohiwa (Toshiba Corporation Semiconductor Company)

11

Control of Oxidation on NiSix during Etching and Ashing Process

S. Sakamori1, K. Yonekura1, N. Fujiwara1, T. Kosaka2, M. Ohkuni2, and K. Tateiwa2 (1Renesas Technology, 2Matsushita Electric Industrial Co., Ltd.)

13

Ion, Electron and Radical Dynamics in a Dual-Frequency Dielectric Etcher

J.P. Booth, G. Curley, and N. Bulcourt (Ecole Polytechnique)

15

A Case Study of Model Based Development of Plasma Sources: Multi-Frequency MERIE Reactors

Mark J. Kushner and Yang Yang (Iowa State University)

17

Control of Meter-Scale High-Density Microwave Plasma for Giant Materials Processing

Y. Nojiri, Y. Yamaguchi, T. Ishijima, and H. Sugai (Nagoya University)

19

Controlling the Ion Flux on Substrates of Different Geometry by Sheath-Lens Focusing Effect

E. Stamate and H. Sugai (Nagoya University)

21

Yield Improvement for 65nm and 45nm Integrated Circuits Using Advanced Transistor Structures and Damascene Processes

Paul Aum1 and Thuy Dao2 (1Spider Systems, 2Freescale Semiconductor)

23

Study of Plasma Charging-Induced White Pixel Defect Increase in CMOS Active Pixel Sensor

Ken Tokashiki, KeunHee Bai, KyeHyun Baek, Yongjin Kim, Gyungjin Min, Changjin Kang, Hanku Cho, and Jootae Moon (Samsung Electronics)

25

Plasma Induced Damage on Ultra Shallow Junction in Spacer Etching

Hikaru Kokura, Kenichi Okabe, Masafumi Nakaishi, and Motoshu Miyajima (Fujitsu Limited)

27

Control of Radical and Ion Densities and Its Application to Damage-Free Resist Stripping over SiOCH in Oxygen-Based Surface Wave Plasmas

M. Hori1, K. Takeda1, Y. Kubota1, M. Sugiura1, Y. Matsumi1, S. Tahara2, and K. Kubota2 (1Nagoya University, 2Tokyo Electron)

29

Plasma Damages on Low-k Films in Etching and Photoresist Ashing

S. Takashima1, S. Uchida1, K. Ohshima2, K. Nagahata2, T. Tatsumi2, and M. Hori1 (1Nagoya University, 2Sony Corporation)

31

Resist Rework on Metal Hardmask in a Low-Plasma Damage Patterning Approach

H. Struyf, D. Hendrickx, G. Mannaert, W. Boullart, and S. Vanhaelemeersch (IMEC)

33

Low Damage Etch Approach of a New Porous SiOC(H) Low-k Dielectric

J. Van Aelst, Y. Travaly, H. Struyf, T. Dupont, D. Hendrickx, W. Boullart, and S. Vanhaelemeersch (IMEC)

35

Study on the Structural Changes of Low-k Material during Ashing and Stripping

S.-I. Cho, K.-K. Chi, C.-J. Kang, H.-K. Cho, and J.-T. Moon (Samsung Electronics)

37

Restoration Process for Degraded Porous MSQ Film

S. Tahara, R. Asako, Fitrianto, Y. Fujii, K. Kubota, K. Maekawa, and K. Hinata (Tokyo Electron)

39

Effect of Dry Etching Chemistry on Reduction of Surface Roughness of Porous Silica Low-k Film

Tetsuo Ono1, Keizo Kinoshita1, Kazuaki Kurihara1, Yuko Takasu2, Yutaka Seino2, Nobuhiro, Hata2, and Takamaro Kikkawa2,3 (1MIRAI, ASET, 2MIRAI, National Institute of Advanced Industrial Science and Technology, 3Hiroshima University)

41

Contact Patterning Scheme for Organo-Siloxane Low-k Material as Pre-Metal Dielectric

JF de Marneffe, Q.T. Le, S. Demuynck, H. Struyf, and W. Boullart (IMEC)

43

The Structures of Low Dielectric Constant SiOC Thin Films Prepared by Direct and Remote Plasma Enhanced Chemical Vapor Deposition

Jaeyeong Heo1, Hyeong Joon Kim1, JeongHoon Han2, and Jong-Won Shon2 (1Seoul National University, 2Jusung Engineering)

45

Plasma Enhanced Chemical Vapor Deposition of Low Dielectric Constant SiOC(-H) Films using MTES/O2 Precursor

R. Navamathavan and Chi Kyu Choi (Cheju National University)

47

A Study on the Plasma Parameters and Characteristics of Carbon Doped Silicon Oxide Film using MTMS/O2 and He Plasma

Chang Sil Yang and Chi Kyu Choi (Cheju National University)

49

A Study on the SIOC(-H) Films with Nano-Pore Structure Deposited by ICPCVD

Kyoung Suk Oh and Chi Kyu Choi (Cheju National University)

51

Electrical and Structural Properties of Amorphous Nitride Carbon (a-C:N) Films Deposited by Closed-Field Unbalanced Magnetron Sputtering with Different Nitrogen Content

Yong Seob Park and Byungyou Hong (SungKyunKwan Univ.)

53

Fluorine Doped Low Refractive Index SiOCF:H Films for Increasing Light Emission Prepared by Plasma Enhanced Chemical Vapor Deposition

S.G. Yoon, S.M. Kang, H. Kim, and D.H. Yoon (SungKyunKwan Univ.)

55

Etching of High-k Dielectric HfO2 Films in BCl3/O2 Plasmas

K. Nakamura1, T. Kitagawa1, K. Osari1, K. Takahashi1, K. Ono1, M. Oosawa2, S. Hasaka2, and M. Inoue2 (1Kyoto University, 2Taiyo Nippon Sanso Corporation)

57

Damage Free Process of MISFET(TaN/HfO2/Si) by Inductively Coupled Plasma

S.K. Yang, S.G. Lee, B.H. O, I.H. Lee, and S.G. Park (Inha university)

59

Etching Properties of High Work Function IrO2 in Cl2 / SF6 Plasma for CMOS Application

H.H. Ngu, W.S. Hwang, and W.J. Yoo (National University of Singapore)

61

Etching Characteristics and Mechanisms for SrBi2Ta2O9(SBT), Pb(Zr,Ti)O3(PZT) and (Ba,Sr)TiO3(BST) Thin Films in Cl2/Ar Inductively Coupled Plasma

A. Efremov1, G.H. Kim2, and C.I. Kim2 (1State University of Chemistry and Technology, 2Chung-Ang University)

63

Effect of Fluorocarbon Gases on the Selective Etching of ZrOx Films using Inductively Coupled BCl3-Based Plasmas

Sang-Duk Park, Jong-Hyuk Lim, and Geun-Young Yeom (Sungkyunkwan University)

65

Ion Beam Etching of Co3Pt Magnetic Nano Dot Array

D.H. Lee1, T.W. Lim1, G.H. Jeong1, S.J. Suh1, and S.Y. Yoon2 (1Sungkyunkwan University, 2Samsung Advanced Institute of Technology)

67

Dielectric Response of Strained BaTiO3/SrTiO3 Artificial Superlattice: First-Principles Study

Leejun Kim1, Do Duc Cuong1, Juho Kim1, Umesh V Waghmare2, Donggeun Jung1, and Jaichan Lee1 (1Sung Kyun Kwan University, 2J. Nehru Certre for Advanced Scientific Research)

69

Growth and Lattice Strain of SrTiO3/(Sr1-xLax)TiO3 Superlattice Grown by Laser Molecular Beam Epitaxy

Juho Kim, Leejun Kim, Dongguen Jung, and Jaichan Lee (Sungkyunkwan University)

71

Reversible Resistive Switching of Cr-Doped SrTiO3 Thin Films Deposited by Pulsed Laser Deposition

Chul-Ho Jung, Taekjib Choi, Le Tran, and Jaichan Lee (Sungkyunkwan University)

73

Perpendicular Exchange Bias of TbFeCo/FePt Multilayer for High Density Magnetic Random Access Memory Application

Hojun Ryu and Dongwoo Suh (Electronics and Telecommunication Research Institute)

75

The Electrical Properties of ZrO2 Based Metal-Insulator-Metal Capacitors

Anna Park, K. Prabakar, and Chongmu Lee (Inha University)

77

The Effects of Thermal Treatment and Ge Contents on Interfacial Properties of ZrO2 Thin Films on SiGe Layers

Hoon Sang Choi1,2, Jae Sung Hur2, Sung Ju Tark2, Sangyul Baek2, Lee, Jeong Seop2 , Chang-Sik Son3, and In-Hoon Choi2 (1RIKEN (The Institute of Physical and Chemical Research), 2Korea University, 3Silla University)

79

Study of Metal Doping Effect on the Ge2Sb2Te5 : Phase Change Materials

Tae-Jin Park, Myung-Jin Kang, and Se-Young Choi (Yonsei University)

81

Electron Energy Distribution Function in High Power Pulsed Magnetron Sputtering Source and Electron Density Change

J. H. In1, S. H. Seo1, H. Y. Chang1, and J. G. Han2 (1Korea Advanced Institute of Science and Technology, 2Sungyunkwan University)

83

Diagnostics of CF2 Radical and Molecules in Non-Equilibrium Atmospheric Pressure-Pulsed Plasma for SiO2 Etching

M. Iwasaki1, M. Ito2, T. Uehara3, and M. Hori1 (1Nagoya University, 2Wakayama University, 3Sekisui Chemical)

85

Suppression of Energetic Species Flux to Substrate by Combination of VHF and DC Power in Magnetron Plasma

Y. Sakashita, Y. Takagi, H. Toyoda, and H. Sugai (Nagoya University)

87

Energetic Particle Flux Suppression on Substrate Using Cylindrical Magnetron for High Quality Film Deposition

Y. Takagi, Y. Sakashita, H. Toyoda, and H. Sugai (Nagoya University)

89

Conformal Deposition of Ti Films in Fine-Patterns Using a High-Pressure Magnetron Sputtering Plasma Source

N. Nafarizal1, N. Takada1, K. Nakamura2, Y. Sago3, and K. Sasaki1 (1Nagoya University, 2Chubu University, 3ANELVA Corporation)

91

Study of RF Magnetron Plasma Characteristics for Metal Oxide Deposition

Joyanti Chutia, A.R. Pal, B.K. Sarma, and H. Bailung (Institute of Advanced Study in Science & Technology.)

93

Behavior of Nitrogen Atom in High Density Plasma in Rare Gas / Nitrogen Mixture

T. Okada, T. Ishijima, Y. Honda, and H. Sugai (Nagoya University)

95

Plasma Parameters in the Vicinity of the Quartz Window of a Low Pressure Surface Wave Plasma Produced in O2

S. Nakao, E. Stamate, and H. Sugai (Nagoya University)

97

Stabilization of Radical Density in Fluorocarbon Plasmas

K. Nakamura1, K. Kumagai1, T. Tatsumi2, and K. Oshima2 (1Chubu University, 2Sony Corporation)

99

Plasma Diagnosis in Formation of Ultra Water Repellent Thin Films by PECVD Method

Y.S. Yun, N. Shimazu, E. Oriyama, T. Yoshida,Y. Inoue, N. Saito, and O. Takai (Nagoya University)

101

Electron Temperature Determination by Optical Emission Spectroscopy in Inductively Coupled Plasmas

Yi-Kang Pu, Xi-Ming Zhu, and Zhi-Gang Guo (Tsinghua University)

103

Ion Species Analysis with Quadrupole Mass Spectrometry in Ar/Cl2 Inductively Coupled Plasma for the Estimation of Etching Mechanism

J.G. Kim1, G.H. Kim1, C.I. Lee2, T.H. Kim3, and C.I. Kim1 (1Chung-Ang University, 2Ansan College of Technology, 3Yeojoo Technical College)

105

A Novel Frequency Compensated Langmuir Probing Technique for Measuring Helicon Plasma Parameters

S.N. Ghosh1, D. Bora2, Jinsu Yoo1, M. Gowtham1, I. Parm1, and Junsin Yi1 (1Sungkyunkwan University, 2Insitute of Plasma Research)

107

Electrical Characteristics of Poly(3-hexylthiophene) Organic Thin Film Transistor with Electroplated Metal Gate Electrodes on Polyimide

Y. G. Seol, J. G. Lee, and N.-E.Lee (Sungkyunkwan University)

109

Effects of Surface Modification for Organic Light-Emitting Diodes Treated by Inductively Coupled O2 Plasma

C.H. Jeong, J.H. Lee, K.S. Min, J.T. Lim, and Geun Young Yeom (Sungkyunkwan university)

111

Admittance Spectroscopic Analysis of Organic Light Emitting Devices with a LiF Buffer Layer at the Cathode/Organic Interface

U. Manna, H.M. Kim, Sunyoung Sohn, Donggeun Jung, and J. Yi (Sungkyunkwan University)

113

Synthesis and Blue Electroluminescent Properties of Zinc(II)[2-(2-hydroxybenzoxazole)]

Won Sam Kim1, Jung Min You1, Eun Mi Son1, Burm-Jong Lee1, Yoon-Ki Jang2, and Young-Soo Kwon1 (1Inje University, 2Dong-A University)

115

White OLEDs Based on Novel Emissive Materials as Zn(HPB)2 and Zn(HPB)q

Yoon-Ki Jang1, Oh-Kwan Kwon1, Burm-Jong Lee2, and Young-Soo Kwon1 (1Dong-A University, 2Inje University)

117

Study on Improvement of OLEDs Properties using Zn(phen)q

Dong-Eun Kim1, Won-Sam Kim2, Oh-Kwan Kwon1, Burm-Jong Lee2, and Young-Soo Kwon1 (1Dong-A University, 2Inje University)

119

Synthesis and Photophysical Studies of a New Phosphorescent Iridium(III) Quinazoline Complex

Y.H. Lee, Y.H. Park, G.Y. Park, N.G. Park, and Y.S. Kim (Hongik University)

121

Efficient Red-Emitting Phosphorescent Iridium(III) Complexes of Fluorinated 2,4-Diphenylquinolines

Y.H. Park, Y.H. Lee, G.Y. Park, N.G. Park, and Y.S. Kim (Hongik University)

123

Phosphorescent Hetero-Iridium(III) Complex Containing Phenylpyridine and 1-(4'-fluorophenyl)benzoquinoline Ligands

G.Y. Park, Y.H. Park, Y.H. Lee, and Y.K. Ha (Hongik University)

125

Organic Light-Emitting Devices with a Mixed Layer Acting as Hole Transport and Emitting/Electron Transport Layers

Y.B. Yoon1, T.W. Kim1, H.W. Yang1, J.H. Seo2, J.H. Kim2, and Y.K. Kim2 (1Hanyang University, 2Hong-ik University)

127

Luminescence Mechanisms of Highly Efficient Organic Light-Emitting Devices Fabricated Utilizing Stepwise Doped Hole Transport Layers

H.W. Yang1, Y.B. Yoon1, D.U. Lee1, T.W. Kim1, J.H. Kim2, J.H. Seo2, and Y.K. Kim2 (1Hanyang University, 2Hong-ik University)

129

Highly Efficient Organic Light-Emitting Diodes Fabricated Utilizing NiO Buffer Layers between Anodes and Hole Transport Layers

H.C. Im1, D.C. Choo1, T.W. Kim1, J.H. Kim2, J.H. Seo2, and Y.K. Kim2 (1Hanyang University, 2Hong-ik University)

131

Optical and Electrical Properties of p-Type Transparent Conducting CuAlO2 Thin Film

Dae-Sung, Kim and Se-Young, Choi (Yonsei University)

133

Preparation of Transparent Conductive Thin Films by RF Magnetron Sputtering

Sung Ju Tark, Mingu Kang, Sang-yul Baek, and Donghwan Kim (Korea university)

135

The Properties of Post-Annealing Al-Doped ZnO by RF Magnetron Sputtering

Sang-yul Baek, Lee, Jeong Seop, Jae-sung Hur, Sung ju Tark, Byoung-hoon Lee, and In-hoon Choi (Korea University)

137

Improved SiO2 Film Deposited by APCVD using TEOS/O3

Jun-Sik Kim, I. Parm, and Jun-Sin Yi (Sungkyunkwan University)

139

Aluminum Doped zinc Oxide Films Deposition Using Inductively-Coupled Plasma Assisted Magnetron Sputtering

Y. Nagano, S. Iwai, M. Shinohara, Y. Matsuda, and H. Fujiyama (Nagasaki University)

141

HfO2 Gate Insulator Formed by Atomic Layer Deposition for Thin-Film-Transistors

S.-W. Jeong1, H.J. Lee1, K.S. Kim1, M.T. You1, Y. Roh1*, T. Noguchi2, W. Xianyu2, and J. Jung2 (1Sungkyunkwan University, 2Samsung Advanced Institute of Technology)

143

The Effects of New Penning Gas in an AC-PDP

B.K. Joung, J.S. Kim, S.O. Kwon, and H.J. Hwang (Chung-Ang University)

145

Effect of Additives to MgO Protective Layer for AC-PDP

Jin-Woo, Kim, Sung-Jin, Park, and Se-Young, Choi (Yonsei University)

147

Correlation between Density and Surface Crystal Orientation of MgO Protective Layer in AC-PDPs

H.J. Lee, C.G. Son, J.M. Jeoung, J.W. Hyun, S.O. Kang, and E.H. Choi (Kwangwoon University)

149

Wall Charge Characteristics in Accordance with Square and Ramped Reset Pulse in AC-PDP

Soo Beom. Lee, J.M. Jeoung, B.D. Ko, P.Y. Oh, M.W. Moon, K.B. Song, J.H. Lee, J.E. Lim, H.J. Lee, Y.G. Han, N.L. Yoo, S.H. Jeoung, C.G. Son, and E.H Choi (Kwangwoon University)

151

Multi-Scale Computational Framework for Processing of Carbon Nanotubes

Kwang Hee Kim1, Hyuk Soon Choi1, Ki-Ha Hong1, Jongseob Kim1, Hyo Sug Lee1, Jai Kwang Shin1, A.V. Vasenkov2, A.I. Fedoseyev2, and Vladimir Kolobov2 (1Samsung Advanced Institute of Technology, 2CFD Research Corporation)

153

Enhanced Photovoltaic Effects by Carbon Nanotube Functionalized with CdS

Yoonmook Kang and Donghwan Kim (Korea University)

155

Electrospun Carbon Nanotubes / Polyvinyl Alcohol (PVA) Composite Nanofibers

Jin-Su Jeong, Jin-San Moon, and Ji-Beom Yoo (Sungkyunkwan University)

157

A Studies on High Yield and Large-Scale Synthesis of Single-Walled Carbon Nanotubes by Catalytic Chemical Vapor Deposition Method

J.S. Kim, O.J. Yoon, J.K. Jung, and C.I. Kim (Chung-Ang University)

159

Nano-Size Domain Formation and Switching in Ferroelectric PbZrO3/PbTiO3 Artificial Superlattice Fabricated by Pulsed Laser Deposition

Taekjib Choi1, Jin-Sik Choi2, Bae Ho Park2, Hyunjung Shin3, and Jaichan Lee1 (1Sungkyunkwan University, 2Konkuk University, 3Kookmin University)

161

Fabrication of Si Nano-Wire MOSFET for High-Sensitivity Photodetector Applications Using Reactive Ion Etching

Young-Shik Shin1, Sang-Ho Seo1, Mi-Young Do1, Jang-Kyoo Shin1, Jae-Hyoun Park2, and Hoon Kim2 (1Kyungpook National University, 2Korea Electronics Technology Institute)

163

Fabrication of Nano Structure using Block Copolymer for Non-Volatile Memory

Sungwook Jung1, M. Gowtham1, Dae-Ho Park2, Byeong-Hyeok Sohn3, Jin Chul Jung2, Wang Cheol Zin2, I.O. Parm1, and Junsin Yi1 (1Sungyunkwan University, 2Pohang University of Science and Technology, 3Seoul National University)

165

Field-Emission Characteristics of Diamond-Like Amorphous Carbon Films Deposited by Mixed Gas (N2 or H2) Controlled i-C4H10 Supermagnetron Plasma

Haruhisa Kinoshita and Manabu Yamashita (Shizuoka University)

167

Submicron Optical Near Field Diffraction Patterns Obtained by Irradiation of Octadecyltrimethoxysilane Self-Assembled Monolayers with Light at 157 nm

F.A. Nae, N. Saito, and O. Takai (Nagoya University)

169

Realization of Various Sub-Micron Metal Patterns Using Room Temperature Nanoimprint Lithography

Jun-Ho Sung, Kyung-Jin Lim, Seung Gol Lee, Se-Geun Park, El-Hang Lee, and Beom-Hoan O (Inha University)

171

Bicrystalline Gallium Oxide Nanobelts

Hyoun Woo Kim, Ju Hyun Myung, and Seung Hyun Shim (Inha University)

173

Growth and Characteristics of Tin Oxide Belt-Like and Sheet-Like Structures

Hyoun Woo Kim, Seung Hyun Shim, and Ju Hyun Myung (Inha University)

175

Surface Modification of Poly(dimethyl siloxane) (PDMS) for Controlling Biological Cells' Adhesion Using a Scanning Radical Microjet

Helen M.L. Tan1, H. Fukuda2, T. Akagi1, and T. Ichiki1,3 (1University of Tokyo, 2Toyo University, 3PRESTO, Japan Science and Technology Agency)

177

Amperometric Biosensor Based on Direct Electrochemistry of Hemoglobin in Poly-Allyl Amine (PAA) Films

A.K.M. Kafi, Hoon-Kyu Shin, and Young-Soo Kwon (Dong-A University)

179

Fabrication of the Magnesium Films for Drug Delivery System

Sung Joon Park, H. J. Kang, J.B. Yoo, and D. J. Kim (Sungkyunkwan University)

181

Controlled Drug Release Using Nanoporous Anodic Aluminum Oxide on Stent

Ho-Jae Kang1, Sung-Joon Park1, Ji-Beom Yoo1 Deug Joong Kim1, and Y.S. Ryu2 (1Sungkyunkwan University, 2R&D Center HUMED Ltd.)

183

Study on Electrical Conduction of Viologen Derivatives Using Scanning Tunneling Microscopy

Nam-Suk Lee1, Oh-Kwan Kwon1, A.K.M. Kafi1, Dong-Jin Qian2, and Young-Soo Kwon1 (1Dong-A University, 2Fudan University)

185

Charge-Transfer Interaction of Viologen Derivation Using Electrochemical QCM Method

Dong-Yun Lee1, Hoon-Kyu Shin1, Dong-Jin Qian2, and Young-Soo Kwon1 (1Dong-A University, 2Fudan University)

187

Highly Selective SiOC/Si3N4 and Si3N4/SiOC Etching by Precision Energy Control for Dual Damascene Formation

Hisataka Hayashi, Itsuko Sakai, and Tokuhisa Ohiwa (Toshiba Corporation Semiconductor Company)

189

Low-Damage and High-Precision Dual-Damascene Patterning for Sub-65-nm Node Cu/Low-k Interconnects

K. Yonekura1, K. Yoshikawa1, Y. Fujiwara1, S. Sakamori1, N. Fujiwara1, T. Kosaka2, M. Ohkuni2, and K. Tateiwa2 (1Renesas Technology, 2Matsushita Electric Industrial Co.)

191

Hard-Mask Etching Process Design for Dual Damascene Fabrication with porous SiOCH Films

H. Ohtake, M. Tada, M. Abe, M. Ueki, M. Tagami, S. Saito, and Y. Hayashi (NEC Corporation)

193

Novel Self Aligned Dual Damascene Process Integration for 65nm Technology Node

M. Nagase, T. Maruyama, M. Iguchi, M. Suzuki, M. Tominaga, and M. Sekine (NEC Electronics Corporation)

195

Sacrificial CVD Film Etch-back Technology for Air-Gap Cu Interconnects

Shoichi Uno, Kiyomi Katsuyama, Junji Noguchi, Kiyohiko Sato,Takayuki Oshima, Masanori Katsuyama, and Kazusato Hara (Hitachi)

197

A Novel Organosiloxane Vapor Annealing Process for Improving Properties of Porous Low-k Films

K. Kohmura1, H. Tanaka1, S. Oike1, M. Murakami1, N. Fujii1, S. Takada2, T. Ono1, Y. Seino2, and T. Kikkawa2,3 (1MIRAI-ASET, 2National Institute of Advanced Industrial Science and Technology, 3Hiroshima University)

199

Influence of Atomic Hydrogen on Porous Low-k Dielectric for 45nm Node

K. Tomioka1, E. Soda1, N. Kobayashi1, M. Takata2, S. Uda2, K. Ogushi2, Y. Yuba2, and Y. Akasaka2 (1Semiconductor Leading Edge Technologies, 2Osaka University)

201

Characterization and Integration of New Porous Low-k Dielectric (k<2.3) for 65nm Technology and Below

Kyeong-Keun Choi, Ihl Hyun Cho, Sang Jong Park, Jung Eun Lim, Oh Jin Jung, Jong Hyuk Park, Byung Seung Min, Sungbo Hwang, Min Jin Ko, and Jeong Gun Lee (MagnaChip Semionductor)

203

Nano-particle Composite Porous Films Prepared by Plasma Chemical Vapor Deposition

Masaharu Shiratani1, Shota Nunomura2, Kazunori Koga1, Yukio Watanabe3, Yoshinori Morisada4, Nobuo Matsuki4, and Shingo Ikeda4 (1Kyushu University, 2National Institute of Advanced Industrial Science and Technology, 3Kyushu Electric College, 4ASM Japan K.K.)

205

Plasma/Reactor Walls Interactions in Gate Etching Processes

G. Cunge1, R. Ramos1, O. Joubert1, N. Sadeghi2, and M. Mori3 (1Laboratoire des Technologies de la Micro?lectronique, CNRS, 2Laboratoire de Spectrom?trie Physique, CNRS-UJF, 3Hitachi central Research Laboratory)

207

In-Situ Study of Plasma-Wall Interactions in Inductively Coupled Fluorocarbon Plasma

L. Overzet, M. Goeckner, E. Joseph, B. Zhou, and S. Sant (The University of Texas at Dallas)

209

Laser-Induced Fluorescence Ion Diagnostics in Light of Plasma Processing

R. McWilliams1, E.A. Hudson2, and J.P. Booth3 (1University of California, 2Lam Research, 3Ecole Polytechnique)

211

Development of Frequency Shift Probe for Monitoring Electron Density in Plasma Reactor

S. Yajima1, K. Nakamura2, and H. Sugai1 (1Nagoya University, 2Chubu University)

213

Electron Heating Mechanism in a Planar Surface Wave Plasma Source

A. Kono, T. Otsuki, L Li, J. Kobayashi, and M. Aramaki (Nagoya University)

215

Advanced LTPS Technology for AM Displays

Jin Jang, Jun Hyuk Cheon, and Jae Hwan Oh (Kyung Hee University)

217

Electromagnetic Sources of Nonuniformity in Large Area Capacitive Plasma Reactors

A.A. Howling1, L. Sansonnens1, Ch. Hollenstein1, and J.P.M. Schmitt2 (1Ecole Polytechnique Federale de Lausanne (EPFL), 2Unaxis Displays)

219

Low-Temperature Process for Advanced FPDs

Hidejiro Kobayashi (Advanced LCD Technology Development Center)

221

Thermal and Optical Properties of CuO Doped Bi2O3 Base System for Transparent Dielectric Layer

J.Y. Song, E.K. Jeong, J.E. Park, and S.Y. Choi (Yonsei University)

223

Maskless Laser Imaging Technology for FPD Patterning

K.R. Kim1, H.S. Kang1, S.K. Hong1, and S.W. Min2 (1LG Electronics, 2HardRAM)

225

Effects of Operating Voltage Waveforms and Power Control Methods on the Light Emission from a Xe Plasma Flat Lamp

Hyuk-Hwan Kim and Won-Jong Lee (Korea Advanced Institute of Science and Technology)

227

Key Issues for Large-Area a-Si TFT-LCD Using Low Temperature Processes on PES Plastic Substrate

MunPyo Hong, Sang Il Kim, Woo Jae Lee, Sung Jin Kim, Wang Su Hong, Hyung Il Jeon, Tae Yong Hwang, Jae Hyun Cho, and Kyuha Chung (Samsung Electronics)

229

Suppression of Hydrogen Ion-Drift into Underlying Layers Using p-SiOxNy Film during High Density Plasma-Chemical Vapor Deposition

T. Murata, T. Yamaguchi, M. Sawada, S. Shimizu, K. Asai, H. Miyatake, and M. Yoneda (Renesas Technology Corporation)

231

Growth of Crystallized Ge Films from VHF Inductively-Coupled Plasma of H2-Diluted GeH4

Tsutomu Sakata, Hideki Murakami, Seiichiro Higashi, and Seiichi Miyazaki (Hiroshima University)

233

Epitaxial Lithium Niobate Film Growth by Metalorganic Chemical Vapor Deposition

Y. Akiyama1, K. Shitanaka1, H. Murakami1, Y.S. Shin2, M. Yoshida2, and N. Imaishi2 (1Tokai University, 2Kyushu University)

235

The Investigation of Ni Thin Film by Atomic Layer Deposition

K.W. Do, C.M. Yang, I.S. Kang, K.M. Kim, K.H. Back, H.I. Cho, H.B. Lee, S.H. Kong, S.H. Hahm, J.H. Lee, and J.H. Lee (Kyungpook National University)

237

Ni-Silicide Precursor for Gate Electrode

M. Ishikawa1, I. Muramoto1, H. Machida1, S. Imai2, A. Ogura2, H. Suzuki3, and Y. Ohshita3 (1Tri Chemical Laboratories, 2Meiji University, 3Toyota Technological Institute)

239

Profile Simulation of High Aspect Ratio Contact Etch

Doosik Kim, Eric Hudson, David Cooperberg, Erik Edelberg, and Mukund Srinivasan (Lam Research Corporation)

241

MD Simulations of Amorphous SiO2 Thin Film Formation in Reactive Sputtering Deposition Processes

M. Taguchi1,2 and S. Hamaguchi1 (1Osaka University, 2Nippon Sheet Glass)

243

Molecular Dynamics Simulation Analyses on Injection Angle Dependence of SiO2 Sputtering Yields by Fluorocarbon Beams

Tomohito Kawase and Satoshi Hamaguchi (Osaka University)

245

Investigation of the Ion Dose Non-Uniformity Caused by Sheath Lens Focusing Effect on Silicon Wafers

N. Holtzer, E. Stamate, H. Toyoda, and H. Sugai (Nagoya University)

247

Improvement of Film Roughness by Distinctive Ion Energy Distribution In Grid Attached Unbalanced Magnetron

J.H. In1, M.J. Jung2, H.Y. Chang1, and J.G. Han2 (1Korea Advanced Institute of Science and Technology, 2Sungyunkwan University)

249

Plasma Assisted Nitriding of Al-Mg Alloy in an EBEP Device

T. Hishida and T. Hara (Toyota Technological Institute)

251

Dry Cleaning Process using N2H2 Plasma for 70nm Contact Hole Etch and Beyond

Jun-Hee Cho, Tae-Woo Jung, Jin-Ki Jung, Seok-Kiu Lee, Yun-Seok Cho, Dong-Duk Lee, Seung-Chan Moon, and Jin-Woong Kim (Hynix Semiconductor)

253

Organic Contaminants Removal by Oxygen ECR Plasma

Sookjoo Kim and Chongmu Lee (Inha University)

255

Surface Reactions in Non-thermal Plasma-Catalyst Hybrid Systems During NOx Removal

M. Dors1, G.V. Nichipor2, Y.S. Mok3, and J. Mizeraczyk2 (1Polish Academy of Sciences, 2National Academy of Sciences of Belarus, 3Cheju National University)

257

Effect of RF Bias Voltage on Crystallinity of TiO2 Thin Films Produced by Reactive Sputtering in an ECR Plasma

Yasuro Nakagawa1, Toyohisa Asaji2, Yushi Kato2, Fuminobu Sato2, and Toshiyuki Iida2 (1Toyama Prefectural University, 2Osaka University)

259

Effect of Radical-Distribution Control on Etching-Profile Uniformity in Dielectric Etching

Hiroyuki Kobayashi1, Ken'etsu Yokogawa1, Kenji Maeda1, Tadamitsu Kanekiyo2, and Masaru Izawa1 (1Hitachi, 2Hitachi High-Technologies Corp.)

261

Extension and Improvement on Dielectric Etch Process through Continuous Hardware Innovation

T. Shin, J. Liu, R. Lindley, J. Kim, A. Joshi, W. Wu, S. Shoji,H. Noorbakhsh, Dan Hoffman, B. Pu, and T. Detrick (Applied Materials)

263

Controlling Gate-CD Uniformity by Means of a CD Prediction Model and Wafer-Temperature-Distribution Control

S. Kanno1, G. Miya1, J. Tanaka1, T. Masuda1, K. Kuwahara2, M. Sakaguchi2, A. Makino2, T. Tsubone2, and T. Fujii2 (1Hitachi, Ltd., Central Research Laboratory, 2Hitachi High-Technologies Corp.)

265

Advanced Gate Etch Processing Utilizing Dynamic Wafer Temperature Control

T. Panagopoulos, N. Gani, T. Kropewnicki, A. Matyushkin, M. Shen, J. Holland, and T. Lill (Applied Materials)

267

Study of Silicon Etching by CF4-based Neutral Beam

B.J. Park1, C.K. Oh1, J.H. Lim1, M.S. Kim1, D.H. Lee2, and G.Y. Yeom1 (1Sungkyunkwan university, 2Samsung Electronics)

269

Silicon Recess Reduction with Source-Driven HBr/O2 Overetch and Lam Advanced Gate Additive

D. Humbird, S. Sriraman, L. Braly, and C. Lee (Lam Research Corporation)

271

Highly Selective W Etching by Using Advanced Microwave Plasma Source with RLSA

T. Nishizuka1, C. Tian1, S.Y. Kang1, T. Nozawa1, T. Goto2, and T. Ohmi2 (1Tokyo Electron, 2Tohoku University)

273

Micro-Loading Effect of WSi Gate Etch for Beyond 90nm DRAM Technology

Kuo-chung Chen, Jen-jui Huang, Chih-ching Lin, Chang-ming Wu, Tse-yao Huang, and Jengping Lin (Nanya Technology Corporation)

275

High Performance SiO2 Etching in Low Pressure Using Very High Frequency Capacitively Coupled Plasma

Y.K. Cho1, K.K. Chi1, C.J. Kang1, and W.S. Lee2 (1Samsung Electronics, 2Applied Materials)

277

Multi-Layer Amorphous Carbon Hardmask Open in Capacitive Coupling High Frequency Plasma Dielectric Etch Chamber

Judy Wang, Shing-li Sung, Zhifeng Sui, Joshua Tsui, Shawming Ma, and Bryan Pu (Applied Materials)

279

Comparison of C4F6-Based and C4F8-Based Etch Chemistries for SiO2 Etching with ArF Photoresist Using Dual Frequency Superimposed Capacitive Coupled Plasmas (DFS-CCP)

C.H. Lee, C.K. Park, and N.-E.Lee (Sungkyunkwan University)

281

Silicon Etching in SF6 Radio-Frequency Discharge: Electrode Structure and Etching Rate

I.O. Parm, S.K. Dhungel, and J. Yi (Sungkyunkwan University)

283

Effects of N2 Addition during Chemical Dry Etching of Silicon Nitride and Oxynitride Layers in NF3/N2/Ar Remote Plasmas

D.J. Kim1, J.Y. Hwang1, N.-E. Lee1, Y.C. Jang2, and G. Bae2 (1Sungkyunkwan University, 2Shihwa Indus. Com.)

285

Infinite Etch Selectivity of Doped-ZnO Layers to Photoresist during CH4/H2/Ar Inductively Coupled Plasma Etching

M.H. Shin, M.S.Park, S.H.Jung, J.H.Boo, and N.-E.Lee (Sungkyunkwan University)

287

Process Window for Infinite Etch Selectivity of Silicon Nitride to ArF PR in Dual-Frequency CH2F2/H2/Ar Capacitively Coupled Plasmas

C.K. Park, C.H. Lee, and N.-E. Lee (Sungkyunkwan University)

289

Dry Etching Characteristics of LiNbO3 Crystal for Optical Waveguide Fabrication

W.J. Park1, W.S. Yang1,2, and D.H. Yoon1 (1Sungkyunkwan University, 2Korea Electronics Technology Institute)

291

Dry Etching of Magnesium Oxide Thin Films by Using Inductively Coupled Plasma for Buffer Layer of MFIS Structure

G.H. Kim and C.I. Kim (Chung-Ang University)

293

Dependence of Junction Depth of BF3 Pulse Plasma Ion Implantation on Pulse Voltages

Ji-Hyun Hur1, Gyeong-Su Keum2, Jae-Joon Oh1, Jaihyung Won2, and Jai-Kwang Shin1 (1Samsung Advanced Institute of Technology, 2Samsung Electronics)

295

Gas Feed Position Control for High-Quality mc-Si Film Deposition at High Speed in Surface Wave Plasma

Y. Hotta, T. Okayasu, Y. Takanishi, H. Toyoda, and H. Sugai (Nagoya University)

297

Height Effects of Substrate on the Uniformity of Deposition in the PECVD reactor

Young-Wan Kim and Youn-Jea Kim (Sungkyunkwan University)

299

Substrate Temperature Dependence of Deposition Rate in Anisotropic Plasma CVD of Cu

Takao Kaji1, Kazunori Koga1, Masaharu Shiratani1,Yukio Watanabe1, Tomohiro Kubota2, and Seiji Samukawa2 (1Kyushu University, 2Tohoku University)

301

Correlation between SiO2 Film Properties and Frog-Egg Defect in High Density Plasma Chemical Vapor Deposition

S.G. Koh1, J.H. Han1, J.W. Shon1, C.S. Kim1, D.B. Kang1, J.H. Yoo1, Y.H. Lee1, S.H. Baek1, S.H. Seo1, B.J. Jin2, G.S. Lee2, J.H. Kim2, J.H. Lee2, and G.S. Jung2 (1Jusung Engineering, 2Hynix Semiconductor)

303

Comparison of C4F6 and C5F8 as Source Precursor for a-C:F Film Deposition

H. Watanabe1, Y. Egashira2, and Y. Shimogaki2 (1University of Tokyo, 2Osaka University)

305

Numerical Study of Ion Deposition in Plasma CVD on Substrates with a Trench Shape

M. Ohnishi, H. Osawa, and K. Yokota (Kansai University)

307

Physical Properties of DLC Film on a Trench by Plasma CVD

H. Nozaki, M. Ohnishi, H. Osawa, T. Sugimoto, A. Mori, K. Nakamura, and K. Yokota (Kansai University)

309

Effects of Magnetic Field and Substrate Bias Voltage on DLC Films Prepared by PECVD

H. Shimada and H. Fujiyama (Nagasaki University)

311

Improved Crystallization Characteristics of ZnO Thin Film Grown onto DLC Film Used as a Buffer and Support Layer

Eung Kwon Kim, Tae Yong Lee, Yong Seob Park, Byungyou Hong, Young Sung Kim, and Joon Tae Song (Sungyunkwan University)

313

Characterization of Ultra Water-Repellent Thin Films by PECVD Method

E. Oriyama, Y.S. Yun, T. Yoshida, T. Shimazu, H. Saito, Y. Inoue, and O. Takai (Nagoya University)

315

Growth of VO2 Films with Metal-Insulator Transition on Silicon Substrates in Inductively Coupled Plasma-Assisted Sputtering

Kunio Okimura and Naotaka Kubo (Tokai University)

317

Sputter Deposition and Surface Treatment of TiO2 films for Dye-Sensitized Solar Cells using Reactive RF Plasma

H. Matsuura1, Y.M. Sung1, M. Otsubo1, C. Honda1, and H.J. Kim2 (1University of Miyazaki, 2Pusan National University)

319

PECVD Silicon Nitride and Vacuum Evaporated Magnesium Fluoride Films in Multicrystalline Silicon Solar Cells

Suresh Kumar Dhungel, M. Gowtham, Jinsu Yoo,Kyunghae Kim, and Junsin Yi (Sungkyunkwan University)

321

Properties of PECVD Silicon Nitride for the Application of c-Si Solar Cell

Jinsu Yoo, S.K. Dhungel, M. Gowtham, S.N. Ghosh, and Junsin Yi (Sungkyunkwan University)

323

Effect of Bias Voltage on Structural and Electrical Properties of ZnO Films Deposited by ECR-PECVD

M.J. Kang1, R. Tap2, S. Schoemaker2, M. Willert-Porada2, and S.Y. Choi1 (1Yonsei University, 2University of Bayreuth)

325

Control of Refractive Index and Core Shape for Silicon Nitride Waveguides Prepared by PECVD

D.H. Yoon1, S.G. Yoon1, S.J. Suh1, H. Kim, and Y.T. Kim2 (1Sungkyunkwan University, 2Samsung SDI Co., Ltd.)

327

Carbon Incorporation Process in GaAsN Films Grown by Chemical Beam Epitaxy Using MMH or DMH as N Precursor

H. Suzuki, K. Nishimura, H. S. Lee, Y. Ohshita, I. Gono, N. Kojima, and M. Yamaguchi (Toyota Technological Institute)

329

Light Illumination Induced Effects on GaAsN Thin Films Grown by Chemical Beam Epitaxy

H.S. Lee, K. Nishimura, H. Suzuki, Y. Ohshita, T. Imai, N. Kojima, and M. Yamaguchi (Toyota Technological Institute)

331

Growth Condition of AlGaN/GaN Heterostructures for Enhanced Electrical Characteristics by MOCVD

J.H. Choi1, H.K. Park1, H.J. Kang2, J. Jhin1, S. Baek1, Y.S. Kwon1, J.-H. Lee, and D. Byun1 (1Korea University, 2Epiplus)

333

The Efficacy of ECR-CVD Silicon Nitride Passivation in InGaP/GaAs HBTs

L.B. Zoccal, J.A. Diniz, I.Doi, J.W. Swart, A.M. Daltrini, and S.A. Moshkalyov (Universidade Estadual de Campinas)

335

Characterization of TiO-N Thin Films Manufactured by Sputtering with High Efficiency Cathode

J.S. Park1, T.W. Kim1, S.W. Park2, and W.S. Ahn2 (1Mirae Engineering Vacuum Division, 2Keimyung University)

337

Minimizing Plasma-Induced Charging Damage during Multi-Step Etching of Dual-Damascene Trench and Via Structures

Michael C. Kutney, Shawming Ma, Allen Zhao, Gerardo A. Delgadino, Daniel J. Hoffman, Keija Horioka, and Ashok Sinha (Applied Materials)

339

Threshold Voltage Shift of Submicron p-Channel MOSFET due to Si Surface Damage from Plasma Etching Process

G.H. Kim1, C.I. Kim1, D.P. Kim2, Y.R. Kang2, H.J. Kim3, and S.Y. Kim4 (1Chung-Ang University, 2KDG Engineering, 3Sindoricoh, 4DongbuAnam Semiconductor)

341

Electron Beam Irradiation Effects in Surface and Subsurface Regions of Various Insulating Sapphires

Bo-Hyun Lee, Tokuyuki Teraji, and Toshimichi Ito (Osaka University)

343

The Need for Three Frequencies for Truly Independent Plasma Parameter Control

Steven Shannon, Daniel Hoffman, Jang-Gyoo Yang, and Valery Godyak (Applied Materials)

345

Frequency-Dependent Characteristics of Plasma in a Dual-Frequency, 300 mm-Diameter Processing Chamber

G. Hebner1, E. Barnat1, P. Miller1, A. Paterson2, J. Holland2, T. Panagopoulos2, and T. Lill2 (1Sandia National Laboratories, 2Applied Materials)

347

Time Evolution of Electrode Voltage Distribution in Large-Area Capacitively Coupled Plasmas

Masaaki Matsukuma and Satoshi Hamaguchi (Osaka University)

349

Plasma Characteristics by Magnetic Field Effect in Linearly Extended Inductively Coupled Plasma System

Kyong Nam Kim, Mi Suk Kim, and Geun Young Yeom (Sungkyunkwan University)

351

Influence of RF Bias on Electrostatic Chuck Characteristics

G. Shim1, T. Yamauchi2, and H. Sugai1 (1Nagoya University, 2Toshiba Corporation)

353

Modeling of an Erosion Profile of Dielectric Target in an RF Magnetron Plasma for Sputter Deposition

T. Yagisawa1, S. Kuroiwa2, and T. Makabe1 (1Keio University, 2Shibaura Mechatronics)

355

Single Chamber Process for Carbon Nanotubes Growth Using Capacitive/Inductive Coupled RF plasmas

Y.M. Sung, M. Otsubo, and C. Honda (University of Miyazaki)

357

Development of a High-Durability Atmospheric DC Arc Plasmatron

J.H. Kim1, Y.S. Mok1, C.K. Choi1, V. Yu. Plaksin1, V.A. Riaby2, and H.J. Lee1 (1Cheju National University, 2General Physics Institute of the Russian Academy of Sciences)

359

Investigation of Volt-Ampere Characteristics for the DC Arc Plasmatron of High Durability

H.J. Lee1, V. Yu. Plaksin1, and V.A. Riaby2 (1Cheju National University, 2General Physics Institute of the Russian Academy of Sciences)

361

Atmospheric Plasma-Calcination of Mesoporous Tungsten Oxide Utilizing Plasma Dielectric Barrier Discharge

Pavel Baroch, Junko Hieda, Nagahiro Saito, and Osamu Takai (Nagoya University)

363

Field Emission Properties of Carbon Nanotubes Synthesized by Capillary Type Atmospheric Pressure Plasma Enhanced Chemical Vapor Deposition

Se-Jin Kyung, Maksym Voronko, Yong-Hyuk Lee, Chan-Woo Kim, June-Hee Lee, and Geun Young Yeom (Sungkyunkwan Univ.)

365

Simulation of Radio Frequency Microplasma in Ar Dielectric Barrier Discharge with Coplanar Electrodes

Fumiyoshi Tochikubo and Satoshi Uchida (Tokyo Metropolitan University)

367

Discharge Mode Characteristics of Atmospheric RF Capacitive Discharges

S.Y. Moon, D.B. Kim, J.K. Rhee, and W. Choe (Korea Advanced Institute of Science Technology)

369

Effects of Helium and Oxygen Mixing in Argon-Based Atmospheric Large Area Plasmas

J.K. Rhee, D.B. Kim, S.Y. Moon, and W. Choe (Korea Advanced Institute of Science Technology)

371

Study of Small Size Atmospheric Plasma with a Pin to Plane Electrode Configuration

D.B. Kim, J.K. Lee, S.Y. Moon, and W. Choe (Korea Advanced Institute of Science Technology)

373

< DPS2005 Young Researcher Award Winner >

A Novel Deep Etching Technology for Si and Quartz Materials

Y. Morikawa, T. Koidesawa, T. Hayashi, and K. Suu (ULVAC)

375

High Rate Deep Si Etching with SF6/O2/HBr/Ar in a Groovy ICP Reactor

T. Tsukada, M. Nomura, M. Ooya, K. Fujiwara, M. Yanagisawa, and G. K. Vinogradov (FOI Corporation)

377

Deep Si Etching for Micro Mold Fabrication

Y. Matsumoto, J. Ishihara, H. Kawata, M. Yasuda, and Y. Hirai (Osaka Prefecture University)

379

Controlling the Silicon Micro-Grass in Fabrication of a Deeply Etched Silicon Mold using Adaptive Bosch Process

M.W. Lee, C.H. Choi, K.J. Lim, S.B. Jo, S.G.Lee, S.G. Park, E.H. Lee, and B.H. O (Inha University)

381

Silicon Oxide Deposition by ECR Plasma for MEMS Applications

C. Biasotto, F.A. Boscoli, R.C. Teixeira, J.A. Diniz, A.M. Daltrini, S.A. Moshkalyov, and I. Doi (Universidade Estadual de Campinas)

383

Fabrication of Piezo-Driven Microactuator for Ink Jet Printing

Jangkwen Lee, Sanghun Shin, Pham Van So, and Jaichan Lee (Sung Kyun Kwan University)

385

Suspended Silicon Oxynitride Structures Fabricated by ECR Plasma and Wet Etching

C. Biasotto, J.A. Diniz, A.M. Daltrini, S.A. Moshkalyov, A.C.S. Ramos, and J.W. Swart (Universidade Estadual de Campinas)

387

Metal Electrode Fabrication Technologies on Non-Planar Surfaces

Ho Jung, Ik-Su Kang, Sung-Wook Jang, Byong-Jo Kwon, Chang Jin Kim, Sie-Young Choi, and Seong Ho Kong (Kyungpook National University)

389

Fabrication of Micro-Scale Optical Power Splitter Using Soft Lithographic Technique

Chul Hyun Choi, Min Woo Lee, Beom-Hoan O, Seung-Gol Lee, Se-Geun Park, and El-Hang Lee (Inha University)

391

Study on MEMS/NEMS Application Using Advanced Functional Thin Films

J.-S. Moon, J.-S. Hyun, J.-H. Park, J.W. Kim, and J.-H. Boo (Sungkyunkwan University)

393

Etching Properties of HfO Based High-K Gate Stack

W.J. Yoo (National University of Singapore)

395

Plasma Enhanced Selective Removal of HfO2 Film with Low Si Substrate Damage for High-k Dielectric Poly-Si Gate Application

Leonard Hsu, Chung Ju Lee, Arthur Chen, H.L. Meng, S.F. Tzou, and S.W. Sun (United Microelectronics Corporation)

397

< DPS2005 Young Researcher Award Winner >

Effect of SiO2 Mask on Surface Properties of Advanced Gate Stacks Using ICP of Cl2 / HBr

W.S. Hwang1, H.H. Ngu1, G. Zhang1, V.N. Bliznetsov2, and W.J. Yoo1 (1National University of Singapore, 2Institute of Microelectronics)

399

Dry Etch Processing of Multiple Gate FETs with Metal Gate Electrode

M. Demand, V. Paraschiv, D. Shamiryan, S. Beckx , W. Boullart, and S. Vanhaelemeersch (IMEC)

401

Design and Diagnostics of Atmospheric Pressure Plasma Jets

A. Schwabedissen1, M. Teschke2, J. Kedzierski2, and J. Engemann1,2 (1JE PlasmaConsult GmbH, 2University of Wuppertal)

403

Control of Substrate Surface Temperature in Millisecond Annealing Technique Using Thermal Plasma Jet

Tatsuya Okada, Seiichiro Higashi, Hirotaka Kaku,Naohiro Koba, Hideki Murakami, and Seiichi Miyazaki (Hiroshima University)

405

Microfabricated Plano-Convex Quartz Crystal Resonator using RIE

E. Sakata1, M. Esashi1, and T. Abe1,2 (1Tohoku University, 2PRESTO, JST)

407

Fabrication and Sensing Behavior of Highly Sensitive Piezoelectric Microbridge VOC Sensor

Sanghun Shin1, Joon-Shik Park2, Nae-Eung Lee1, and Jaichan Lee1 (1Sungkyunkwan University, 2Korea Electronics Technology Institute)

409

Fabrication and Characterizations of Out-of Plane Type Piezoelectric Micro Grippers Using Micro Cantilevers

Chang-Seong Jeon1,2, Joon-Shik Park1, Sang-Yeol Lee2, and Chan-Woo Moon1 (1Korea Electronics and Technology Institute, 2Yonsei University)

411

One-Dimensional Carbon and ZnO

J.M. Ting, K.H. Liao, T.L. Chou, and M.D. Chen (National Cheng Kung University)

413

Nanobiodevice: From Genomics/Proteomics to Medical Application

Yoshinobu Baba (Nagoya University)

415

Fabrication of Fast DNA Separation Nano-Pillar Chips by Plasma Etching Technique

R. Ogawa, H. Ogawa, A. Oki, S. Hashioka, and Y. Horiike (National Institute for Materials Science)

417

Fabrication of Dye Sensitized Solar Cell Using TiO2 coated Carbon Nanotubes

Tae Young Lee, P. S. Alegaonkar, and Ji-Beom Yoo (Sungkyunkwan University)

419

Rapid Growth of Dense, Aligned Single-Walled Carbon Nanotubes for Multi-Level Interconnections of Ultra-Large Scale Next-Generation Integrated Circuits

H. Nagao1, M. Hiramatsu1, H. Amano1, and M. Hori2 (1Meijo University, 2Nagoya University)

421