November 29-30, 2006

Challenges in Etch: What's New?

R. A. Gottscho, K. Nojiri, J. LaCara (Lam Research Corporation)

1

Plasma Ash Processing Solutions for Advanced Interconnect Technology

N. Fuller1, M. Worsley1, L. Tai1,2, S. Bent1, T. Dalton2 (1IBM, 2Stanford University)

3

Surface Reactions during Low-k Etching using N-H Plasma

M. Fukasawa1, T. Tatsumi1, K. Ohshima1, Y. Kiyonobu1, S. Hibarino1, K. Nagahata1, K. Shinohara1, S. Uchida2, S. Takashima2, M. Hori2, Y. Kamide1 (1Sony Corp., 2Nagoya University)

5

Investigation of Reduction in Etch Rate of Isolated Holes in SiOCH

Y. Momonoi1, K. Yonekura2, M. Izawa1 (1Hitachi, Ltd., 2Renesas Technology Corp.)

7

Hard Mask Selection for Dry Etching of FinFET Metal Gates

M. Demand, D. Shamiryan, V. Paraschiv, S. Garaud, B. Degroote, S. Beckx, W. Boullart (IMEC)

9

Etching of High-k Dielectric HfO2 Films in BCl3/Cl2/O2 Plasmas without rf Biasing

D. Hamada1, K. Osari1, K. Nakamura1, K. Eriguchi1, K. Ono1, M. Oosawa2, K. Sakoda2, S. Hasaka2, M. Inou2 (1Kyoto University, 2Taiyo Nippon Sanso Corporation)

11

Selective Plasma Etch of TaC and Ru Electrodes on HfSiO High-k Dielectric for Dual Metal Gate CMOS Application

L. Hsu, L.W. Cheng, K.T. Chu, T. Lin, M. Ma, M.C. Yang, J.H. Liao, S.F. Tzou (United Microelectronics Corporation)

13

Dry Etch of Source/drain Areas for Strained Channel Engineering by SiGe Regrowth

D. Shamiryan, P. Verheyen, R. Rooyackers, M. Demand, S. Beckx, W. Boullart (IMEC)

15

Optimization of Lithographic Parameters for Dry-Etching Processes: Reduction of LWR as an Example

N. Matsuzawa1, A. Ando1, E. Matsui1, K. Kugimiya1, K. M. A. Salam1, T. Tatsumi1, Y. Yamaguchi1, T. Iwai2, M. Irie2, H. Kawahira1 (1Sony Corp., 2Tokyo Ohka Kogyo Co., Ltd.)

17

Tunable Isotropic Silicon Etch for Locally Strained pMOSFETs

H. H. Chen1, M. Kawaguchi2, M. Shen2, J H Liao1, H. Sasano2, M. C. Yang1, J. Jin2, Y. H. Chen1, W. Liu2, R. Chou2, W. Wang2 (1United Microelectronics Corporation, 2Applied Materials)

19

Si Deep Trench Etching Process

I. Sakai, K. Yahashi, M. Narita, T. Ohiwa, K. Sato, Y. Ata, N. Abe, S. Shimonishi (Toshiba Corp.)

21

White Top-Emitting Organic Light-Emitting Diodes Using One-emissive Layer of the DCJTB Doped DPVBi Lay

M. S. Kim, C. H. Jeong, J. T. Lim, G. Y. Yeom (Sungkyunkwan University)

23

Crystallization of Amorphous Ge Films Induced by Semiconductor Diode Laser Annealing

K. Sakaike, S. Higashi, H. Kaku, T. Sakata, H. Murakami, S. Miyazaki (Hiroshima University)

25

Plasma Density, Electron Temperature, Excited Xenon Density, and Ioninduced Secondary Electron Emission Coefficient for Vacuum Ultraviolet Luminous Efficiency in Alternating Current Plasma Display Panel

E. H. Choi, P. Oh, B. H. Hong, Y. K. Kim, B. J. Park, Y. Seo, G. Cho (Kwangwoon University)

27

Field Emission Properties of Carbon Naowalls Decorated with Dispersed Platinum Nanoparticles

T. Machino1, K. Yamakawa2, H. Kano3, M. Hiramatsu4, M. Hori1 (1Nagoya University, 2Katagiri Engineering Co., LTD., 3NU-EcoEngineering Co., LTD., 4Meijo University)

29

Nucleation Study of Hydrogenated Microcrystalline Silicon (μc-Si:H)Films Deposited by VHF-ICP

T. Karakawa, S. Higashi, H. Murakami, S. Miyazaki (Hiroshima University)

31

Characterization of Silicon Carbide Layers Formed by Atmospheric Pressure Plasma Carbonization of Silicon

H. Kakiuchi, H. Ohmi, R. Nakamura, M. Aketa, K. Yasutake (Osaka University)

33

Profile Simulation Model Including Ion Reflection on Feature Surfaces during Plasma Etching

S. Irie, Y. Osano, M. Mori, K. Eriguchi, K. Ono (Kyoto University)

35

Electrode Temperature and Frequency Dependencies of the Si3N4 Etch Rate in Capacitive Discharges

D. Sung, S. Jeong, T. Kwon, J. Lee, M. Han (Samsung Electronics)

37

Interatomic Potentials for Si-Ge-H Systems and their Application to Microcrystalline Si/Ge Deposition Process Simulations

M. Matsukuma, S. Hamaguchi (Osaka University)

39

O(1D2) Atoms Detection in O2 and Rare Gas Mixture Surface Wave Plasmas for 200mm Si Wafer Oxidation Process on Production Level

K. Takeda1, S. Takashima1, T. Shiozawa2, Y. Kabe2, J. Kitagawa2, T. Nakanishi2, M. Hori1 (1Nagoya University, 2Tokyo Electron AT LTD.)

41

Method of in-situ Radical Distribution Monitoring using Optical Emission Spectroscopy for Etching Plasma

G. Miya, J. Tanaka, N. Itabashi (Hitachi, Ltd.)

43

Transport of Nano-particles after Turning off Capacitively Coupled Discharges

S. Iwashita, K. Koga, M. Shiratani (Kyushu University )

45

Control of Polymer Deposition and Stabilization of Radical Densities with Oxygen Addition to Ar-diluted C4F8 Etching Plasmas

K. Nakamura1, K. Kumagai1, K. Oshima2, K. Nagahata2, T. Tatsumi2 (1Chubu University, 2Sony Corp.)

47

Measurement of Rotational and Vibrational Temperature of Gas Molecule in the Open-air Type PCVM Plasma by using Spatial Resolved Emission Spectra

K. Yamamura1, K. Ueno1, Y. Oshikane1, Y. Sano1, M. Shibahara2, Y. Mori1 (1Osaka University, 2Hyogo Prefectural Institute of Technology)

49

Laser-induced Fluorescence Measurement of Cu Atom Density in Cu Film Deposition by Metal Chloride Reduction Plasma CVD

N. Oyama1, K. Furuta2, N. Yahata1, Y. Tomita3, H. Sakamoto3, K. Sasaki2 (1Mitsubishi Heavy Industries, Ltd., 2Nagoya University, 3PhyzChemix Corporation)

51

Time-resolved Optical Emission Spectroscopy of Pulsed RF Plasma for Copper Magnetron Sputtering

O. S. Ghee1, K. Namjun1, P. Chunghun1, K. Yongmo2, H. Jeongeon2 (1Ajou University, 2Sungkyunkwan University)

53

Development of Compact Frequency Shift Probe for Monitoring Plasma Density in Volume Production Line

H. Kim1, K. Nakamura2, H. Sugai1 (1Nagoya University, 2Chubu University)

55

Self-Excited Plasma Series Resonances in Capacitive RF Discharges

U. Czarnetzki, Th. Mussenbrock, R.P. Brinkmann (Ruhr-University Bochum)

57

Minimized Plasma Induced Damage for Ultra Shallow Junction

H. Kokura, K. Okabe (FUJITSU)

59

Physical Properties of Carbon Nanotubes Radiated by Proton Beams: Gas Adsorption and Electron Microscopy Studies

J. Y. Kim1, E. Kim1, S. Suh1, K. Han2 (1Hanyang University, 2Umea University)

61

Analysis of GaN Etching Damage by Capacitively Coupled RF Ar Plasma Exposure

R. Kawakami, T. Inaoka (The University of Tokushima)

63

Characterization of Electron Irradiated GaN n+-p Diode

D. U. Lee1, E. K. Kim1, B. C. Lee2, D. K. Oh3 (1Hanyang University, 2Korea Atomic Energy Research Institute, 3Electronics and Telecommunications Research Institute)

65

Effects of RF Frequency and Gas Mixture on the Uniformity of VHF Plasmas

G. A. Hebner1, E. V. Barnat1, P. A. Miller1, A. M. Paterson2, J. P. Holland2 (1Sandia National Laboratories, 2Applied Materials)

67

High Aspect Ratio Via Etch Development for Cu Nails in 3-D-stacked Ics

J. V. Aelst, H. Struyf, W. Boullart, S. Vanhaelemeersch (IMECvzw)

69

Investigation on Etch Characteristics of Magnetic Tunnel Junction Stacks with Nanometer-Sized Patterns for Magnetic Random Access Memory

C. W. Chung1, S. R. Min1, H. N. Cho1, K. W. Kim2, T. W. Kim2 (1Inha University, 2Samsung Advanced Institute of Technology)

71

Improvement of Swelled Features Generated during Si Planarization in CF4/O2 Dual Powered Microwave Plasma Etching

S. J. Kong, C. S. Hyun, I. N. Lee, C. B. Kim, M. K. Ahn, S. W. Park, S. K. Park (Hynix Semiconductor Inc.,)

73

Improvement of Striation and CD Shrink by Etch Process on 65nm ArF Contact

P. Y. Chou1, W. C. Tsai1, J. C. Yau1, L. H. Liao1, R. Hwang2, P. Lin2, T. Kondo2, L. Chen2 (1UMC, 2Tokyo Electron Taiwan)

75

Plasma Effects on Electrostatic Chuck Force in Inductively Coupled Plasma

G. I Shim1, T. Yamauchi2, H. Sugai1 (1Nagoya University, 2Toshiba Corp.)

77

Effect of Gas Pressure and Composition on EVA Surface Modification in Plasma Environment

Y. S. Cho1, H. J. Lee2, H. J. Lee2 (1Korea Electrotechnology Research Institute, 2Pusan National University)

79

Quantitative Relation between Engineering and Microscopic Parameters in Fluorocarbon Plasma

G. Garozzo1, C. Bellecci1, C. Savardi1, A. L. Magna2 (1STM, 2CNR ITALY)

81

Aluminum Oxide Coating on Die-cast Magnesium Alloy Using Oxygen RF Plasma

M. Sugimoto1, M. Hitomi2, K. Takeda1 (1Akita Prefectural University, 2Yamazaki Diecast Co. Ltd.)

83

Rapid Treatment of Polymer Film Surface by High-Density Microwave Plasma

Y. Takagi, Y. Gunjo, H. Toyoda, H. Sugai (Nagoya University)

85

Infinitely High Etch Selectivity of Indium Tin Oxide (ITO) to Photoresist during CH4/H2/Ar Inductively Coupled Plasma (ICP) Etching

D. Y. Kim, J. H. Ko, M. S. Park, N.-E. Lee (Sungkyunkwan University)

87

Improvement of Structural and Optical properties of GaN Epilayer Grown by Patterned ion Implantation

J. Choi1, J. Jhin2, J. S. Lee3, D. Byun1 (1Korea University, 2Korea Photonics Technology Institute, 3Korea Atomic Energy Research Institute)

89

μc-Si Film Deposition by Surface Wave Plasma under Precise Control of Substrate Temperature

T. Okayasu, Y. Takanishi, H. Toyoda, H. Sugai (Nagoya University)

91

Behavior Analysis of Organosilane Molecules in Plasmas for Fabrication of SiOCH Thin Films

Y. S. Yun, T. Yoshida, N. Shimazu, N. Nanba, Y. Inoue, N. Saito, O. Takai (Nagoya University)

93

Hydrogen Reduction in GaAsN Thin Films by the Flow-rate Modulated Chemical Beam Epitaxy

K. Saito, K. Nishimura, H. Suzuki, Y. Ohshita, M. Yamaguchi (Toyota Technological Institute)

95

Growth Mode of SrRuO3 Thin Films with Oxygen Pressure on Stepped SrTiO3 Substrates

H. Ahn, J. Lee (Sungkyunkwan University)

97

Inductively Coupled Plasma Reactive Ion Etching of ZnO in a HBr/Ar Plasma

C. W. Chung, S. R. Min, H. N. Cho, Y. L. Li (Inha University)

99

Study of Reactive Ion Etching for the Application in Crystalline Silicon Solar Cell

J. Yi, J. Yoo, S. K. Dhungel, S. Jung, S. Hwang (Sungkyunkwan University)

101

The Effect of Plasma Treatment of Polycarbonate Substrate on the Adhesion of Aluminum Oxide Film

H. Kim (Seoul National University)

103

Blue and Green Emission using In(Ga)N/GaN Quantum Wells with InN Well Layers Grown by Metalorganic Chemical Vapor Deposition

D. C. Kim1, B. H. Kong1, H. K. Cho1, K. H. Lee2, J. W. Kim2, B. J. Kim3 (1Sungkyunkwan University, 2Samsung Electo-Mechanics Co, 3NINEX)

105

Selective Deposition of the Catalyst Nanoparticles using Gravity for Carbon Nanotube Interconnector

D. Y. Kim1, J. B. Yoo1, H. C. Lee1, J. H. Lee1, I. T. Han2, H. J. Kim2, H. J. Kim2, Y. W. Jin2, J. M. Kim2 (1Sungkyunkwan University, 2Samsung Advanced Institute of Technology)

107

Etch Uniformity Control by Gap and DC Superposition at 65nm Metal Hard-mask Dual Damascene

Y. T. Lai1, C. J. Hwang1, A. T. Wang2, J. C. Yau1, J. H. Liao1, L H. Chen2, K. Adachi2, S. Okamoto2 (1UMC, 2TEL)

109

Damage Free Etching of RuO2 in O2/He Plasma

W. S. Hwang1, V. N. Bliznetsov2, B. J. Cho1, D. S. H. Chan1, W. J. Yoo3 (1National University of Singapore, 2Institute of Microelectronics, 3Sungkyunkwan University)

111

Control of Electrical Resistivity on TaN Thin Films for Embedded Passive Resistors

S. M. Kang, S. G. Yoon, W. S. Jung, S. J. Suh, D. H. Yoon (Sungkyunkwan University)

113

Synthesis and Characteristics of Nitrogen Doped Amorphous Carbon Thin Films for the Electrode of Electronic Device

Y. S. Park, B. Hong, H. J. Cho (Sungkyunkwan University)

115

Advantages of an Inductively Coupled Plasma-Assisted Sputtering for Preparation of Stoichiometric VO2 Films with Metal-Insulator Transition

Y. Nihei, Y. Sasakawa, K. Okimura (Tokai University)

117

The Etching Properties of Na0.5K0.5NbO3 Thin Films in Cl2/Ar Gas Chemistry

C. M. Kang, G. H. Kim, K. T. Kim, C. I. Kim (Chung-Ang University)

119

Electrical, Mechanical, and Optical Properties of the Organic-Inorganic Hybrid-Polymer Thin Films Deposited by PECVD

I. S. Bae1, S. J. Cho1, W. S. Choi1, B. Y. Hong1, Y. J. Kim1, Y. M. Kim2, J. H. Boo1 (1Sungkyunkwan University, 2Chonnam national University)

121

TEOS PECVD Process using Monopole Antenna Plasma Source for Gate Insulator of LTPS-TFTs

K. Takizawa, Y. Mori, N. Miyatake, K. Murata (Mitsui Engineering and Shipbuilding Co., Ltd.)

123

Enhancement of the Lifetime in Organic Light-emitting Devices Fabricated Utilizing Wide-bandgap Impurity-doped Emitting Layers

D. C. Choo1, H. S. Bang1, B. C. Kwack1, T. W. Kim1, J. H. Kim2, J. H. Seo2, Y. K. Kim2 (1Hanyang University, 2Hong-ik University)

125

Precise Observations on Early Stages of Film Growth for Representative Transparent Conductive Oxide Films

Y. Sato, M. Taketomo, N. Ito, A. Miyamura, Y. Shigesato (Aoyama Gakuin University)

127

Single Dopant White Electrophosphorescent Light Emitting Diodes using Heteroleptic Tris-cyclometalated Iridium(III) Complexes

Y. H. Lee, G. Y. Park, Y. S. Kim (Hongik University)

129

Electron Transporting Properties of Zn Complex using Organic Light-emitting Diodes

Y. S. Kwon1, O. K. Kwon1, D. E. Kim1, Y. K. Jang1, W. S. Kim2, B. J. Lee2 (1Dong-a University, 2Inje University)

131

Improvement of Emission Reliability of Carbon Nanotube Emitters by Electrical Conditioning

J. H. Park, S. Y. Jeon, P. S. Alegaonkar, J. B. Yoo (Sungkyunkwan University)

133

Synthesis and Photo Physical Study of Iridium Complex of New Pentafl uorophenyl-Substituted Ligands

Y. H. Lee, G. Y. Park, Y. Ha, Y. S. Kim (Hongik University)

135

Enhancement of the Efficiency and the Color Stabilization in Green Organic Light-emitting Devices with Multiple Heterostructures Acting as a Hole Transport Layer

D. U. Lee1, Y. B. Yoon1, S, H. Baek1, T. W. Kim1, J. H. Kim2, J. H. Seo2, Y. K. Kim2 (1Hanyang University, 2Hong-ik University)

137

Efficiency Enhancement Mechanism in Organic Light-emitting Devices with NPB/m-MTDATA Multiple Heterostructures Acting as a Hole Transport Layer

S. M. Han1, K. P. Kim1, D. C. Choo1 , T. W. Kim1, J. H. Kim2, J. H. Seo2, Y. K. Kim3 (1Hanyang University, 2Hong-ik University)

139

Characteristics of a Graded Multilayer SiOx(CH)yNz Film Deposited by Low Temperature PECVD using HMDS/Ar/N2O for Water Vapor Diffusion Barrier

J. H. Lee, T. Pham, J. T. Lim, S. J. Kyung, G. Y. Yeom (Sungkyunkwan University)

141

Pholuminescence and Electroluminescence of Zinc Complex Based on 5-substisuted-(2-hydoxyphenyl)Benzotriazole Derivatives

W. S. Kim1, J. K. Park1, D. E. Kim2, Y. S. Kwon2, B. J. Lee1 (1Inje University, 2Dong-A University)

143

Fabrication and Electrochemical Characterization of HRP-Lipid Langmuir-Blodgett Film and its Potential Application as a Biosensor

Y. S. Kwon, A. K. M Kafi, D. Y. Lee, S. H. Park (Dong-A University)

145

Carbon Nanotube and Nanowall Formations Employing Non-equilibrium Atmospheric Pressure Plasma CVD

T. Masuda1, M. Kimura1, M. Hiramatsu1, M. Hori2 (1Meijo University, 2Nagoya University)

147

Comparative Study on Si Strain Analysis using HDP and HARPTM Shallow Trench Isolation Gap-Fill Oxide by Convergent Beam Electron Diffraction

S. H. Cho, J. H. Lee, S. J. Joen, W. Kim, H. J. Kim, H. J. Lee, B. H. Choi, J. W. Kim (HYNIX Semiconductor Inc.)

149

Multi-barrier-Layer Mediated Growth of Carbon Nanotubes

H. C. Lee, P. S. Alegaonkar, J. B. Yoo (Sungkyunkwan University)

151

Microstructure and Mechanical Properties of TiZrAlN Nanocomposite Thin Films by CFUBMS

Y. J. Kim, H. Y. Lee, Y. M. Kim, K. S. Kim, J. G. Han (Sungkyunkwan University)

153

Columnar Shape Control of Electrochromic Indium Nitride Films by using Glancing-angle Reactive Evaporation Method

T. Kuranaga, H. Takaba, N. Kurebayashi, Y. Inoue, N. Saito, O. Takai (Nagoya University)

155

Efficiency Enhancement of Polymer Solar Cells with Plasma Treatment of ITO Surface

S. Sohn, H. Chae (Sungkyunkwan University)

157

Detection of Deoxyribonucleic Acid on Different Group Terminated Surfaces by Evanescent Wave Spectroscopy

M. A. Bratescu, N. Saito, H. Mori, O. Takai (Nagoya University)

159

Electrochemical Properties of TiN Coating on 316 Stainless Steel Separator for Polymer Electrolyte Membrane Fuel Cell

W. S. Jeon, J. G. Kim (Sungkyunkwan University)

161

Application of Photocatalytic Anti-fog Effect of TiO2 to Curve Mirror Deposited by EBEP Gun

S. Ikezawa1, Y. Taga1, K. Kato2, T. Hara3 (1Chubu University, Chubu University, 2Eco-Techno Co.Ltd, 3Toyota Technological Institute)

163

Application of PVD Coatings for Developing a DSA-type Anode

S. Kim, S. Kim, E. S. Lee (Institute for Advanced Engineering)

165

The Effect of Moving Magnet Field on Plasma Discharge and Film Formation in Reactive Sputtering

C. S. Moon1, Y. M. Kim1, H. Y. Lee1, K. S. Kim1, J. G. Han1, E. H. Bae2 (1Sungkyunkwan University, 2Maxplasma Inc.)

167

Microplasmas for Material Processing: Configurations and Diagnostics by Optical Emission Spectroscopy

D. Mariotti, Y. Shimizu, A. C. Bose, T. Sasaki, N. Koshizaki (AIST, NARC)

169

Meter-scale Microwave Plasma Generation by Atmospheric Pressure Discharge

Y. Kouyama, K. Ishikawa, T. Ishijima, H. Sugai (Nagoya University)

171

Fast Deposition of DLC Film in T-Shape Filtered Arc Deposition

H. Tanoue1, H. Hikosaka1, H. Takikawa1, H. Hasegawa2, M. Taki2, M. Kamiya3 (1Toyohashi Univ, 2Onward Ceramic Coating Co., Ltd, 3Itoh Optical Industrial Co., Ltd)

173

Atmospheric Pressure Plasma Sterilization with Water Micro-mist

Y. Ogiyama, H. Ohmi, K. Iwamoto, H. Kakiuchi, K. Yasutake (Osaka University)

175

Impact of Line Width Roughness on the Matching Performances of Next-generation Devices

C. Gustin, L. H. A. Leunissen, A. Mercha, S. Decoutere, G. F. Lorusso (IMEC)

177

Interactions of Photoresist Stripping Plasmas with Nanoporous Organo-silicate Ultra LowDielectric Constant Dielectrics

P. Lazzeri1, G. S. Oehrlein2, G. J. Stueber2, R. McGowan3, E. Busch3, S. Pederzoli1 (1ITC-irst, 2University of Maryland, 3SEMATECH)

179

< DPS2006 Best Paper Award Winner >

Impact of Local Structure on CD Variation in Poly-Si Gate Etching

M. Kurihara1, M. Izawa1, H. Uchiyama1, J. Tanaka1, K. Kawai2, N. Fujiwara2 (1Hitachi Ltd., 2Renesas Technology Corp.)

181

Improvement of the Wiggling Profile of Spin-on Carbon Hard Mask by H2 Plasma Treatment

M. Tadokoro1, K. Yonekura1, K. Yoshikawa1, Y. Ono1, T. Ishibashi1, T. Hanawa1, H. Okumura2, T. Matsunobe2, K. Matsuda2 (1Renesas Technology Corp., 2Toray Research Center Inc.)

183

Sub-45 nm SiO2 Etching with S-MAP using High Bias Frequency DFS RIE

K. Kikutani, H. Hayashi, J. Abe, A. Kojima, T. Ohashi, I. Sakai, T. Ohiwa (Toshiba Corporation Semiconductor Corp.)

185

Hard Carbon Mask for Next Generation Lithographic Imaging

S. J. Park1, K. R. Lee1, S. H. Cho2, S. H. Choi2, S. I. Lee2, J. O. Yoo3, C. H. Shin3, G. J. Min3, C. J. Kang3, H. K. Cho3, J. T. Moon3 (1Korea Institute Science and Technology, 2Ajou University, 3Samsung Electronics Co.)

187

Triple Hard Mask Approach for Etching of Sub-30 nm Metal Gates

V. N. Bliznetsov1, L. K. Bera1, W. S. Hwang2, N. Balasubramanian1, R. Kumar1, G. Q. Lo1, A. D. Trigg1, L. Y. Wong1, K. M. Hoe1 (1Institute of Microelectronics, 2National University of Singapore)

189

Line Edge Roughness Reduction by plasma treatment

H. C. Lee (Nanya Technology Corp.)

191

Molecular Dynamics Simulations of Nitridation Effects during Organic Polymer Etching by Hydrogen-Nitrogen Plasmas

M. Yamashiro1, H. Yamada2, S. Hamaguchi1 (1Osaka University, 2AIST)

193

Reducing Ion Dose Non-Uniformity by using Transient Sheath in Plasma Immersion Ion Implantation

N. Holtzer1, E. Stamate1, H. Sugai1, T. Saito2 (1Nagoya University, 2NGK)

195

Investigation of Silicon Etching by Plasma-Sheath-Lens Focusing Effect

E. Stamate1, H. Sugai2 (1Risoe National Laborator, 2Nagoya University)

197

Development of Measurement Technique of Absolute Carbon Atom Densities in Reactive Plasma Processes Using Vacuum Ultraviolet Absorption Spectroscopy

H. Sasaki, S. Takashima, M. Hori (Nagoya University)

199

Analysis of Optical Interference Signals during Structural Changes of Silicon Nitride Fringe Patterns

S. J. Park (Samsung Electronics Co. Ltd.)

201

Control of Surface Wave Plasma by Using a Multi-Hole Structured Dielectric Window

S. Nakao1, E. Stamate1, I. P. Ganachev1,2, H. Sugai1 (1Nagoya University, 2Shibaura Mechatronics Corp.)

203

Methodology for In-situ Chamber Condition Monitoring

G. H. Kim, C. I. Kim (Chung-Ang University)

205

Effect of Microwave Field on the Langmuir Probe Characteristics

A. Kono, T. Otsuki, M. Aramaki (Nagoya University)

207

Measurement of Active Species Flux from N2 Plasma in GaN Growth Condition

H. Kanai, T. Ishijima, H. Toyoda, H. Sugai, J. Osaka (Nagoya University)

209

Reducing Plasma Non-Uniformity and Plasma-Induced Charging Damage by Optimizing Etch Chamber Design

M. C. Kutney, S. Ma, D. J. Hoffman, K. Horioka (Applied Materials, Inc.)

211

Microwave Plasma CVD of SiNx Film for Passivation of Carbon Nanotube FET

N. Takada, H. Toyoda, H. Sugai, H. Shimauchi, Y. Ohno, T. Mizutani (Nagoya University)

213

In Situ Observation of Electron Beam Irradiation Effects in the Oxidized Polycrystalline Si0.4Ge0.6 Films

H. B. Kang, J. H. Bae, K. H. Kwak, J. W. Lee, M. H. Park, C. W. Yang (Sungkyunkwan University)

215

Aqueous Based Single Wafer Cleaning Process Development and Integration into 65nm for Metal Hard Mask

J. Lin, K. CH Lee (UMC)

217

Low Temperature Formation of SiN Films Using VHF Capacitively Coupled Plasma and Application to Passivation Films for Organic Devices

T. Matsubara1, M. Li1, H. Imaeda1, K. Takeda1, Y. Masumoto1, T. Mori1, S. Tada2, H. Hayakawa2, M. Hori1 (1Nagoya University, 2YOUTEC Co., Ltd.)

219

High Rate Deposition of Silicon Nanoparticles Using Pulse Modulated UHF SiH4/H2 Plasma

Y. Ito1, E. Takahashi1, T. Chikyow2, M. Hori1 (1Nagoya University, 2National Institute for Material Science)

221

Low Temperature Deposition of Tin Oxide Films by Inductively Coupled Plasma Assisted Chemical Vapor Deposition

H. Y. Lee (Seoul National University)

223

Consecutive Uniform Etching Process in a Single Chamber using the Microwave-excited Plasma Etcher with RLSA

K. Ikenaga1, T. Goto1, A. Teramoto1, M. Hirayama1, T. Nozawa2, T. Ohmi1 (1Tohoku University, 2Tokyo Electron)

225

Control of Self-Aligned Contact Etching for sub 60nm DRAM Technology

M. Lee (Hynix Semiconductor Inc.)

227

Innovative Chamber Design and Excellent Process Performance and Stability for Ultra High Aspect Ratio Deep Trench Etch

F. Ameri, D. Gutierrez, S. V Pamarthy, D. Scanlan, F. Schaeftlein (Applied Materials, Inc)

229

Controlling the CD of a 65 nm NOR Flash Memory

M. Ravasio, R. Colombo (STMicroelectronics)

231

Substrate Silicon Recess in Reactive Ion Etching

D. S. Lee, Y. K. Cho, C. K. Lee, G. J. Min, C. J. Kang, H. K. Cho, J. T. Moon (Samsung Electronic.Corp.)

233

Corrosion Behavior of TiN, TiAlN, TiAlSiN Thin Films Deposited on Tool Steel in the 3.5 wt.% NaCl Solution

Y. H. Yoo1, D. P. Le1, J. G. Kim1, S. K. Kim2, P. V. Vinh2 (1Sung Kyun Kwan University, 2University of Ulsan)

235

Effects of Various Operating Conditions on the Uniformity of Deposition in the PECVD Reactor

J. S. Baek, Y. J. Kim (Sungkyunkwan University)

237

Effects of Various Addition Gases on Chemical Dry Etching of Low-k SiOCH Layer in F2/Ar Remote Plasmas

Y. B. Yun1, S. M. Park1, D. J. Kim1, N. E. Lee1, C. K. Choi2, K. S. Kim3, G. H. Bae3 (1Sungkyunkwan University, 2Cheju National University, 3Shihwa Indus.)

239

Structural Characterization of β-Ga2O3 Layer on GaN Single Crystal

H. J. Lee, S. G. Yoon, S. M. Kang, W. S. Jung, D. H. Yoon (Sungkyunkwan University)

241

Physical Properties and Etching Characteristics of Metal(Al, Ag, Li) Doped ZnO Films Grown by rf Magnetron Sputtering

S. H. Jeong, B. N. Park, D. G. Yoo, M. H. Shin, N. E. Lee, S. B. Lee, J. H. Boo (Sungkyunkwan University)

243

Deposition of Polycrystalline SiGe Film by Surface Wave Excited Plasma

Y. Takanishi, T. Okayasu, H. Toyoda, H. Sugai (Nagoya University)

245

Growth of SrTiO3/Sr(Ti1-xCrx)O3 Superlattice Grown by Laser Molecular Beam Epitaxy

J. Kim, J. Lee, E. Choi, H. Ahu (Sungkyunkwan university)

247

Growth and Electrical Property of La0.95Sr0.05TiO3 and LaTiO3+δ Thin Films Grown on (001) SrTiO3 by Pulsed Laser Deposition

J. Park, J. Lee (Sungkyunkwan University.)

249

Optimization of Silicon Nitride Film for Crystalline Silicon Solar Cell Application

J. Yi, J. Yoo, S. K. Dhungel (Sungkyunkwan University)

251

Etch Characteristics of Nickel Oxide and Nickel Using Inductively Coupled Plasma Reactive Ion Etching for Oxide Resistive Random Access Memory (OxRRAM) Application

C. W. Chung1, H. N. Cho1, S. R. Min1, H. J. Bae2, J. H. Lee2 (1Inha University, 2Samsung Advanced Institute of Technology)

253

Characteristics of GaN-based HEMT on N+-Ion-Implanted Sapphire Substrates by MOCVD

J. Jhin1, T. Choung1, J. Baek1, J. Choi2, D. Byun2, J. Lee3 (1Korea Photonics Technology Institute, 2Korea University, 3Korea Atomic Energy Research Institute)

255

Etching Characteristics of Diamond-like Carbon using Dual Frequency Superimposed Capacitively Coupled Plasmas (DFS-CCP)

C. H. Lee, H. D. Kim, C. K. Park, N.-E. Lee, H. J. Cho, B. Y. Hong (Sungkyunkwan University)

257

Atomic Layer Deposition of Ruthenium Films using Ru(CO)3(C6H8) Precursor

S. Chung, Y. W. Song (Korean Polytechnic University)

259

Study on Nonvolatile Byproducts Generated during Etching of Advanced Gate Stacks

W. S. Hwang1, B. J. Cho1, D. S. H. Chan1, W. J. Yoo2 (1National University of Singapore, 2Sungkyunkwan University)

261

Feature of High Aspect Ratio Elliptic-contact Etch

A. J. J. Huang (Nanya Technology Corp.)

263

Optimization of Poly-Si Gate Etch Process for FinFET Logic Circuits

S. Locorotondo, M. Demand, L. Witters, C. Vrancken, I. Vos, S. Beckx, W. Boullart, S. Vanhaelemeersch (IMEC)

265

Effect of the Assist Ion Beam Voltage on Intrinsic Stress and Optical Properties of Ta2O5 Thin Films Deposited by Dual Ion-beam Sputtering

S. G. Yoon1, S. M. Kang1, W. S. Jung1, S. W. Kim2, D. H. Yoon1 (1Sungkyunkwan University, 2Kumoh National Institute of Technology)

267

Epitaxial Growth of Conductive Anatase TiO2:Nb Films on SrTiO3 Substrate by Reactive Sputtering

J. Takayama, K. Okimura (Tokai University)

269

Etching Characteristic Evaluation of Bi4-xLaxTi3O12 Thin Films with Various Gas Mixtures in the Inductively Coupled Plasma Sources

J. G. Kim, G. H. Kim, K. T. Kim, C. I. Kim (Chung-Ang University)

271

Effect of Rapid Thermal Processing on the Ultra Low-k SiCOH Films Deposited by PECVD

S. Lee, J. Yang, C. Choi, D. Jung, J. H. Boo, H. Chae (Sungkyunkwan University)

273

Fabrication of Micro-Bridge Thermopile with XeF2 Etching Process

K. P. Yoo, N. K. Min (Korea University)

275

Post Annealing Effects of ITO-Ca Nano-composite Thin Films

W. S. Jung1, S. G. Yoon1, S. M. Kang1, S. W. Kim2, D. H. Yoon1 (1Sungkyunkwan University, 2Kumoh National Institute of Technology)

277

Electrical Characteristics of Flexible Organic Thin Film Transistor with Electroplated Metal Gate Electrodes on Polyimide

Y. G. Seol, D. Y. Kim, N. E. Lee (Sungkyunkwan University)

279

Electrical and Structural Properties of AZO Transparent Conducting Oxide Films by DC Magnetron Sputtering

S. U. Lee, B. Hong (Sungkyunkwan University)

281

Transparent Top-Emitting Organic Light-Emitting Diodes Using the Cs/Al/Ag/ITO Semi-Transparent Cathode

J. T. Lim, C. Jeong, M. S. Kim, J. H. Lee, G. Y. Yeom (SungKyunKwan University)

283

Preparation of Thin Film Electrode for Display by using Facing Targets Sputtering Method

B. J. Cho, S. K. Lee, M. J. Keum, S. J. Park, H. W. Choi, K. H. Kim (Kyungwon University)

285

Red Phosphorescent Heteroleptic Tris-cyclometalated Iridium Complexes with Phenylisoquinoline and Fluorinated 2,4-diphenylquinoline Ligands

Y. H. Lee1, G. Y. Park1, Y. H. Kim2, Y. S. Kim1 (1Hongik University, 2Princeton High School)

287

Optical and Electrical Properties of Blue Organic Light-emitting Devices using CBP/DPVBi Multiple Heterostructures Acting as a Emitting Layer

H. S. Bang1, C. U. Kim1, D. C. Choo1, T. W. Kim2, J. H. Kim2, J. H. Seo2, Y. K. Kim2 (1Hanyang University, 2Hong-ik University)

289

Enhancement of Light Extraction Efficiency in Organic Light Emitting Diodes Utilizing a Porous Alumina Film

K. P. Kim1, K. S. Lee1, T. W. Kim1, D. H. Woo2, J. H. Kim3, J. H. Seo3, Y. K. Kim3 (1Hanyang University, 2Korea Institute of Science and Technology. 3Hong-ik University)

291

Characteristics of Inductively Coupled Plasma Source using Internal Linear Antenna for Ultra Large-Area Plasma Processing

J. H. Lim1, K. N. Kim1, G. Y. Yeom2 (1Sungkyunkwan University, 2The national program for Tera-level devices)

293

A White OLED Based on SnDP(HPB)2 as Blue Emitting Layer

D. E. Kim1, O. K. Kwon1, W. S. Kim2, B. J. Lee2, Y. S. Kwon1 (1Dong-A University, 2Inje University)

295

Study on Tunneling Current of Viologen Derivatives through Molecules Length using STM

Y. S. Kwon1, N. S. Lee1, D. J. Qian2 (1Dong-A University, 2Fudan University)

297

Surface Etching Effects of Diamond-like Amorphous Carbon Films Treated by Supermagnetron Plasma for Field-emission

H. Kinoshita, M. Yamashita, T. Yamaguchi (Shizuoka University)

299

Local Strain Measurements on Si Dry Process using HDP and HARPTM Shallow Trench Isolation Gap-Fill Oxide using Convergent Beam Electron Diffraction Analysis

J. H. Lee, S. H. Cho, D. W. Lee, J. H. Kim, T. S. Park, J. Lee, T. S. Back, H. J. Kim, S. Y. Lee (HYNIX Semiconductor Inc.)

301

Increase of Hardness and Thermal Stability of TiAlN coatings by Nanoscale Multilayered Structurization with BN Phase

J. K. Park1, J. Y. Cho2, H. T. Jeon1, Y. J. Baik2 (1Korea Institute of Science and Technology, 2Hanyang University)

303

Electrical Properties of Transparent Conductive CNT Composite Films

M. J. Kim, J. B. Yoo (Sungkyunkwan University / CNNC)

305

Effect of Lattice Mismatch on the Formation of ZnO-based Nanorods

B. H. Kong1, Y. Y. Kim1, S. O. Jun2, D. C. Kim1, H. K. Cho1 (1Sungkyunkwan University, 2Dong-A University)

307

Hard Conductive Nc-C Films Prepared by Magnetron Sputtering

S. I. Kim (Sungkyunkwan University)

309

Study on Internal Stress of Thin Film TiO2 Photocatalyst Deposited by Sputtering

A. Miyamura, K. Kaneda, Y. Sato, Y. Shigesato (Aoyama Gakuin University)

311

Production of Multi-Bubble Plasmas in Water by Slot-Excited Microwave Discharge

H. Hotta1, T. Ishijima1, M. Sato2, H. Sugai1 (1Nagoya University, 2Honda Electronics Co. Ltd)

313

Development of an Inductively Coupled RF Atom Source

S. Nishigaito (Doshisha University)

315

Effect of He addition on the Heating Characteristics of Substrate Surface Irradiated by Ar Thermal Plasma Jet

T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, S. Miyazaki (Hiroshima University)

317

Atmospheric dc Glow Discharge with a Miniature Gas Flow using an Electrolyte Cathode

N. Shirai, K. Matsui, S. Ibuka, K. Yasuoka, S. Ishii (Tokyo Institute of Technology)

319

Development of Split Gliding Arc for Surface Treatment of Conductive Materials

J. Motoki1, H. Shiki1, Y. Ito1, H. Takikawa1, T. Ootsuka2, E. Usuki3, T. Okawa4, S. Yamanaka4, Y. Nishimura5, S. Hishida5, T. Sakakibara6 (1Toyohashi University of Technology, 2Sumitomo Osaka Cement Co., Ltd., 3Sintobrator Ltd., 4Daiken Chemical Co., Ltd., 5Kurita Seisakusho Co., Ltd., 6Gifu National College of Technology)

321

High-speed Etching of Amorphous Silicon using Pin to Plate Dielectric Barrier Discharge

S. J. Kyung, Y. H. Lee, J. H. Lee, G. Y. Yeom (Sungkyunkwan University)

323

< DPS2006 Young Researcher Award Winner >

Crust Formation Mechanism in a 193-nm Photoresist after Low-k Dry Etch

E. Kesters1, M. Claes1, Q.T. Le1, A. Franquet1, G. Vereecke1, R. Carleer2, P. Adriaensens2, D. Vanderzande2, J. J. Biebuyk3, P. Van Veltem3, S. Bebelman3 (1IMEC, 2Universiteit Hasselt, 3UCL)

325

Mechanism of Oxidation of Silicon at Low Temperature during O2/Ar Microwave-excited Plasma Process

I. Hasegawa, T. Yamauchi, H. Sugai (TOSHIBA Corp., TOSHIBA Corp., Nagoya University)

327

Electrochromic Properties of Biomimetic InN Films Deposited by Oblique-angle Reactive Sputtering

Y. Inoue, J. Yamazaki, T. Kuranaga, H. Takaba, N. Kurebayashi, N. Saito, O. Takai (Nagoya University)

329

Synthesis and Characterization of Crystalline Bi2O3 Nanobelts

H. W. Kim (Inha University)

331

Stabilization of Etching Performance by using Multivariant Analysis of Emission Spectra during in-situ Cleaning

T. Iwakoshi, M. Mori, J. Tanaka, N. Itabashi (Hitachi, Ltd.)

333

Ubiquitous Monitoring Probe for Atomic Radicals in Process Plasmas

S. Takashima1, S. Takahashi2, X. Jia3, H. Kano3, K. Yamakawa4, S. Den4, M. Hori1 (1Nagoya University, 2Katagiri Engineering, 3NU-EcoEngineering, NU-EcoEngineering, 4Katagiri Engineering, Katagiri Engineering, Nagoya University)

335

Investigation of Particle Reduction and its Transport Mechanism in UHF-ECR Dielectric Etching System

H. Kobayashi, K. Yokogawa, K. Maeda, M. Izawa (Hitachi, Ltd.)

337