November 26-28, 2008

< Recipient of DPS2008 Nishizawa Award >

Device Scaling Challenges and Dry Processes

Masataka Hirose (AIST)

< Recipient of DPS2008 Nishizawa Award >

Study on Dry Etching and Its Application to New Devices

Yasuhiro Horiike (NIMS)

Guiding Principle of Dry Process and Role of DPS as Open Platform

Haruhiko Abe

Development of Microwave Plasma Etching and Future Prospects of Plasma Etching

Keizo Suzuki (Hitachi Ltd.)

Plasma Etching -- Early Days

John W. Coburn

Future Growth and Collaboration for Dry Processes in East Asia Communities

Jeon G. Han

30 Years of DPS and Future Vision

N. Fujiwara1, K. Yonekura1, M. Kurihara2, N. Itabashi2, K. Takahashi3, K. Takeda4, M. Fukasawa5, N. Sakurai6, I. Sakai6, Y. Morikawa7 (1Renesas Technology Corp., 2Hitachi Ltd., 3Kyoto Inst. of Technology, 4Nagoya Univ., 5Sony Corp., 6Toshiba Corp., 7ULVAC, Inc.)

< DPS2008 Young Researcher Award Winner >

Measuring Vacuum Ultraviolet Flux via Chemical Modifications of 193nm Photoresist in Inductively Coupled Plasmas

M.J. Titus, D.B. Graves (UC Berkeley)

LWR Improvement for Fine Patterning with Spin-On Carbon Material

M.C. Chiu, W.C. Sun, Y.C. Chen, H. Matsuo (Powerchip Semiconductor Corp.)

Exploring Double Patterning Approaches for 22-nm Half-Pitch Gate Structures

S. Locorotondo, D. Vangoidsenhoven, J. Wouters, A. Miller, M. Demand, W. Boullart (IMEC)

High Performance CD Shrink Technique by RIE

M. Honda1, S. Matsuyama1, K. Yatsuda2 (1Tokyo Electron AT Ltd., 2Tokyo Electron Ltd.)

Comparison of Etching Characteristics of ArF and EUV Resists in Dual-Frequency Superimposed Capacitively Coupled CF4/O2/Ar and CF4/CHF3/O2/Ar Plasmas

B.S. Kwon1, J.S. Kim1, N.-E. Lee1, S.K. Lee2, S.W. Park2 (1Sungkyunkwan Univ., 2Hynix Semiconductor)

Double Hard Mask Strategy for Patterning 0.186 ¦Ìm2 SRAM Cells Using FinFET Technology

M. Demand, A. Veloso, S. Brus, C. Delvaux, J. De Backer, M. Ercken, W. Boullart (IMEC)

Interpretation of a Subtle Metal Etch Defect Induced by Underlying IMD Crack

H.-J. Lee, C.-L. Chen, N.-T. Lian, J.-C. Pan, K.-W. Liu, T. Yang, M.-S. Chen, W.-P. Lu, K.-C. Chen, C.-Y. Lu (Macronix International Co., Ltd.)

Hard-Mask Top Shape Effect on Deep Trench Profile

H.-C. Lee, C.-C. Min, K.-W. Chen (Nanya Technology Corp.)

Anisotropic Etching of TaSiN, TiN and TiAlN for High-k Metal Gate Transistors

T. Ono, T. Aoyama, Y. Nara (Selete)

Etching Characteristics of VO2 Thin Films Using Inductively Coupled Cl2/Ar Plasma

H. Jung1, A. Efremov2, S.J. Yun3, K.-H. Kwon4 (1Korea Univ., 2State Univ. of Chemistry & Technology, 3Electronics and Telecommunications Research Inst., 4Korea Univ.)

Influence of RIE Process Dispersions on FinFET Transistor Performance Estimated by Process and Device Simulations

A. Malinowski1, M. Sekine2, M. Hori2, D. Tomaszewski3, A. Jakubowski4 (1Nagoya Univ. Warsaw Univ. of Technology Institue of Electron Technology, 2Nagoya Univ., 3Institue of Electron Technology, 4Warsaw Univ. of Technology)

Deposition Profile of Plasma CVD Carbon Films in Trenches

J. Umetsu1, K. Inoue1, T. Nomura1, H. Matsuzaki1, K. Koga2, M. Shiratani2, Y. Setsuhara3, M. Sekine4, M. Hori4 (1Kyushu Univ., 2Kyushu Univ. JST, CREST, 3Osaka Univ. JST, CREST, 4Nagoya Univ. JST, CREST)

Production of Large-Area Plasma by Three Parallel Waveguide Systems and Its Application to Si Film Deposition

H. Endo1, T. Hayashi1, Y. Takanishi1, T. Ishijima1, H. Toyoda1, H. Sugai2, A. Masuda3, M. Kondo3 (1Nagoya Univ., 2Chubu Univ., 3AIST)

VIA-Barrier Metal Adhesion due to Silicon Dioxide Film Quality Changing by Temperature

H. Kim1, J. Won2, D. Park2, K. Jang2, Y. Roh3 (1Samsung Electrocnics Co., Ltd. Sungyunkwan Univ., 2Samsung Electrocnics Co., Ltd., 3Sungyunkwan Univ.)

Reliability of SiOx Film for Corrosion Protection Using Electrochemical Methods

N.N. Dang, J.G. Kim, D.J. Kim, N.E. Lee (Sungkyunkwan Univ.)

Electrical Conduction and Resistance Switching in Cr-Doped SrTiO3 Thin Films

B.T. Phan, J. Lee (Sungkyunkwan Univ.)

Improvement of Electrical Properties of N-type Nanocrystalline 3C-SiC Thin Films Prepared by Hot-wire CVD at High H2-dilution

Y. Hoshide, A. Tabata (Nagoya Univ.)

Fabrication of Carbon Nanostructures Using Non-Equilibrium Atmospheric Pressure Plasma CVD

H. Watanabe1, T. Masuda1, M. Hiramatsu1, M. Hori2, K. Yamakawa3 (1Meijo Univ., 2Nagoya Univ., 3Katagiri Engineering Co., Ltd.)

Plasma Sensing Diagnostic Probe for Advanced Process Control

M. Nagai, D. Keil, M. Brooks, J. Kim, J.P. Booth, L. Albarede, E. Sevillano, B. Howard (Lam Research Corp.)

Enhancement of Deposition and Etching Uniformity by the Pulse Modulation of VHF-CCP Discharges

D. Sung1, S. Seok1, Y. Lim1, M. Han1, G.-H. Kim2 (1Samsung Electrocnics Co., Ltd., 2Seoul National Univ.)

Angstrom-Order Etching Rate Control Using “Groovy ICP” Technology

G. Vinogradov, W.Y. Jung (FOI Corp.)

Tri-Layer Resist System for Gate Patterning

H.-C. Lee, C.-H. Yeh, C.-C. Lin, C.-M. Wu (Nanya Technology Corp.)

Boron Activation on Poly-Si by Using CW Laser for S/D Regions

A.R. Kim, D.H. Kang, M.K. Park, J. Jang (Kyung Hee Univ.)

Inductively Coupled Plasma Discharge of the Finite Length

Y. Martysh (Taras Shevchenko National Univ.)

Dependence of Plasma Density on Air Gap Length of Resonant Cavity in Microwave Plasma Source

S. Kogoshi, Y. Yoshioka, N. Katayama, Y. Kudo (Tokyo Univ. of Science)

P1-28 High-Speed Gas Replacement in Plasma Process Chamber due to Precise Down-Flow of Gas Using a Upper Shower Plate

S. Morishita1, T. Goto1, I. Akutsu1, K. Ohyama1, T. Ito1, T. Ohmi2 (1OMRON Corp., 2Tohoku Univ.)

Development of Combinatorial Plasma Process Analyzer for Next-Generation Plasma Nano Technologies

Y. Setsuhara1, K. Takenaka1, M. Shiratani2, M. Sekine3, M. Hori3 (1Osaka Univ. JST, CREST, 2Kyushu Univ. JST, CREST, 3Nagoya Univ. JST, CREST)

Effect of Pulse Frequency on the ITO Film by Dual Pulsed DC Magnetron Sputtering System

S.B. Jin, S.I. Kim, Y.J. Kim, Y.S. Choi, I.S. Choi, J.G. Han (Sungkyunkwan Univ.)

Improved Electrical Properties of Surface-treated ZnO:Al Thin Film Deposited on the Polymeric Substrate

D.-J. Kwak, B.-W. Park, J.-H. Kim, K. Endrowednes, Y.-M. Sung, K.-Y. Chun, M.-W. Park (Kyungsung Univ.)

Reduction of Leakage Currents in N-Channel Bottom-Gate Poly-Si TFTs by Applying Off-Bias Stress

B.S. Oh1, J.H. Oh1, D.H. Kang1, M.K. Park1, W.H. Park1, Y.J. Chang2, J.B. Choi2, C.W. Kim2, J. Jang3 (1Kyung Hee Univ., 2Samsung Electrocnics Co., Ltd., 3Kyung Hee Univ.)

Reduction of Off-State Currents with Double Offset Structure in Bottom-Gate Poly-Si TFT

D.H. Kang1, J.H. Oh1, M.K. Park1, W.H. Park1, B.S. Oh1, Y.J. Chang2, J.B. Choi2, C.W. Kim2, J. Jang1 (1Kyung Hee Univ., 2Samsung Electrocnics Co., Ltd.)

Effect of Oxygen in Transparent Amorphous In-Ga-Zn-O Thin Film as a Function of Annealing Temperature for TFT Application

C. Jung, D.J. Kim, Y.K. Kang , D.H. Yoon (Sungkyunkwan Univ.)

Optical and Electrical Characteristics of Ultra-thin Silicon Oxynitride Films Deposited by Plasma-Assisted Oxynitridation

S. Jung, J. Cho, J. Kim, H. Son, J. Heo, J. Yi (Sungkyunkwan Univ.)

The Properties of ITO Films Effect of O2 Pressure during Dual Pulsed DC Magnetron Sputtering

S.I. Kim, S.B. Jin, Y.J. Kim, Y. S Choi, I.S. Choi, J.G. Han (Sungkyunkwan Univ.)

PH3-N2O Plasma Treatment on ZnO TFT Channel

C. Avis, S.H. Kim, S.J. Hong, J.H. Hur, J. Jang (Kyung Hee Univ.)

Analysis of the Photocurrents of A-Si:H Thin Film Transistor in Terms of Energy Spectrum of Various Backlight Systems

K.M. Choi, S.J. Kwon, E.S. Cho (Kyungwon Univ.)

Deep Etching and Hydrophilization of Transparent Perfluoropolymer for Biodevice Fabrication

T. Ono, S. Sugimoto, T. Akagi, T. Ichiki (The Univ. of Tokyo)

Multiwalled Carbon Nanotube-Based Flexible Electrochemical Electrodes for Biosensors

J.-Y. Lee, C.J. Lee, S.-W. Kim, N.-K. Min (Korea Univ.)

Treatment of Proteins Using Oxygen / Water Vapor Plasmas Produced by RF Discharge

N. Hayashi, R. Kawaguchi, H. Liu (Saga Univ.)

Plasma Deposited/Etched Nano-Structured Fluorocarbon Surfaces as Cell-Culture Substrates

P. Favia1, E. Sardella2, F. Intranuovo2, F. Palumbo3, R.D. Mundo4, V.D. Benedictis4, M. Nardulli4, R. Gristina5, R. d'Agostino1 (1Univ. of Bari Inst. Methodogies and Plasma CNR Plasma Solution, 2Inst. Methodogies and Plasma CNR, 3Plasma Solution, 4Univ. of Bari, 5Inst. Methodogies and Plasma CNR)

CF4 Plasma Pretreatment Effect on Interfacial Reliability of Inkjet-Printed Ag and Flexible Polyimide Systems

Y.-B. Park1, S.-C. Park1, H.-C. Jung2, J.-W. Joung2 (1Andong National Univ., 2Samsung Electro-mechanics)

Ion-Beam Pretreatment Effect on Interfacial Adhesion of Sputter-deposited Cu Film and Epoxy-Based Printed Circuit Board

K.-J. Min1, S.-C. Park1, K. Lee2, J. Kim2, D.-G. Kim3, G.-H. Lee3, Y.-B. Park1 (1Andong National Univ., 2Amkor Technology Korea Inc., 3Korea Inst. of Materials Science)

Surface Modification of Polyimide for Improving Adhesion to Copper Film by Inductively Coupled Plasma

T.J. Byun1, S.I. Kim1, Y.J. Kim1, Y.S. Choi1, I.S. Choi1, Y. Setsuhara2, J.G. Han1 (1Sungkyunkwan Univ., 2Osaka Univ.)

Study on the Etching Mechanism of the Bosch Process

C.-G. Kim, D.S. Lee, B.H. Jeong, J. Yu, W.J. Lee (KAIST)

Effects of Plasma Cleaning Conditions on the Characteristics of MOSFETs for Electroless Ni Plating on Al pads

A. Ikeda1, K. Kajiwara1, Y. Kimiya2, Y. Fukunaga2, Y. Kuroki1 (1Kyushu Univ., 2Yoshitama Surface Finishing Co., Ltd.)

Effect of Wet Chemical Pretreatment on Interfacial Reaction Characteristics between Electroless-Plated Ni and Polyimide Films

K.-J. Min1, S.-C. Park1, K.H. Lee2, Y. Jeong2, Y.-B. Park1 (1Andong National Univ., 2Korea Inst. of Materials Science)

Low Etching-Selectivity Study of SOD Etch-Back Process

H.-L. Lin, C.L. Tai, Y.-C. Chen, C.-H. Lin, Y.C. Yang, C.-N. Wu, H. Matsuo (Powerchip Semiconductor Corp.)

Poly Wet Etch Study for 50nm Node Flash Device

R.Z. Zheng, Y.C. Yang, C.L. Tai, C.-N. Wu, H. Matsuo (Powerchip Semiconductor Corp.)

Evolutionary Trend of Silicon Nanoelectronics and Beyond CMOS Devices

T. Hiramoto (Univ. of Tokyo)

Plasma Etching Challenges for Porous SiOCH Integration in Advanced Interconnect Levels

T. Chevolleau1, T. David2, N. Posseme2, M. Darnon1, F. Bailly1, R. Bouyssou1, J. Ducote3, L. Vallier1, O. Joubert1 (1CNRS LTM, 2CEA Leti - Minatec, 3CNRS LTM CEA Leti - Minatec STMicroelectronics)

Silylation Treatment for Porous SiOCH (k=2.3) Film

K. Tomioka, S. Chikaki, S. Kondo, S. Saito (Selete)

Plasma CVD of Nano-Particle Composite Porous Films of k=1.4-2.9 and Young's Modulus above 10 GPa

S. Iwashita1, H. Miyata1, K. Koga1, H. Matsuzaki1, M. Shiratani1, M. Akiyama2 (1Kyushu Univ., 2AIST)

Overall Reaction Mechanism of C5F8 Plasma Chemistry

Y. Shimogaki1, A. Seki1, M. Matsukuma2, S.-Y. Kang2, I. Sawada2 (1Univ. of Tokyo, 2Tokyo Electron Ltd.)

Laser-Produced Silicon Nanocrystals and Self-Organized Carbon Nanoarchitectures Fabricated by Atmospheric Microplasma

D. Mariotti1, V. Švrček2 (1Rochester Inst. of Technology, 2AIST)

Dry processing for advanced 3D passive and heterogeneous integration

F. Roozeboom1, Y. Lamy2, R. Li3, W.F.A. Besling4, K.B. Jinesh4, W.M.M. Kessels5, E.C.E. van Grunsven6, T. Sakai6, E. Rodenburg6, T.R. Grob6, J. Klootwijk7, P.H.L. Notten8, P.M. Sarro9 (1NXP Semiconductors Research Eindhoven Univ. of Technology, 2NXP Semiconductors Research, 3Delft Univ. of Technology, 4NXP Semiconductors Research, 5Eindhoven Univ. of Technology, 6Philips Applied Technologies, 7Philips Research, 8Philips Research Eindhoven Univ. of Technology, 9Delft Univ. of Technology)

Influence of Sidewall in High Rate Deep Si Etching

I. Sakai, N. Sakurai, T. Ohiwa (Toshiba Corp. Semiconductor Co.)

Low Damage Trench Etching Technique for p/n Columns Structure of Super Junction MOSFET

Y. Noda, T. Shibata, T. Yamamoto, H. Yamaguchi (DENSO Corp.)

Liquid Crystals as an Organic Semiconductor -Its High Potential for TFT Applications-

H. Iino, J. Hanna (Tokyo Inst. of Technology CREST)

Influence of TiN Surface Condition for Poly-Si/TiN/High-k Gate Stack Etching

S. Yamanari1, T. Maruyama1, M. Inoue1, M. Mizutani1, S. Sakashita1, N. Fujiwara1, T. Yamashita2, H. Okamura2 (1Renesas Technology Corp., 2Matsushita Electric Industrial Co., Ltd.)

Ion Beam Etching Technology for High-Density Spin Transfer Torque MRAM

K. Sugiura, S. Takahashi, M. Amano, T. Kajiyama, M. Iwayama, Y. Asao, N. Shimomura, T. Kishi, S. Ikegawa, H. Yoda, A. Nitayama (Toshiba Corp.)

Study of W and WN Etching in Cl2/O2 Inductively Coupled Plasmas

T. Morel1, S. Barnola2, O. Joubert3 (1STMicroelectronics CEA Leti - Minatec CNRS/LTM, 2CEA Leti - Minatec, 3CNRS LTM)

«Spacer First» and «Spacer Last» Approach for Sub-20nm HfO2 - Metal Gate on Fully Depleted SOI

C. Arvet1, J. Chiaroni2, P. Brianceau2, V. Loup2, P. Besson1, MP. Clement1, A. Fanton2, V. Delaye2, L. Tosti2, C. Buj-Dufournet2, M. Heitzmann2, S. Barnola2, O. Louveau1 (1STMicroelectronics, 2CEA Leti - Minatec)

Comparative Study on the Kinetics of Chemical Changes in Phenol Formaldehyde-Based Polymeric Films Etched in N2O and O2 Inductively Coupled Plasmas

D.A. Shutov1, S.-Y. Kang2, K.-H. Baek2, K.S. Suh2, N.-K. Min3, K.-H. Kwon3 (1Ivanovo State Univ. of Chemistry & Technology, 2ETRI, 3Korea Univ.)

Fluorination of Al2O3 and Y2O3 Surfaces by Irradiating High-Flux CF4/O2 and SF6/O2 Plasmas

K. Miwa, N. Takada, K. Sasaki (Nagoya Univ.)

Plasma - Surface Interaction Effects on Ultra-Shallow Junction Formation

I.L. Berry III, C. Waldfried, S. Luo, A. Srivastava (Axcelis Technologies Inc.)

Effects of O2 Plasma Treatment on the Gas Sensing Characteristics of MWCNT/Polymer Composite Films

M.J. Lee, S.J. Min, S.-W. Kim, C.J. Lee, N.-K. Min (Korea Univ.)

P2-05 Effect of H2O Addition on Rapid Surface Treatment of Polymer Film by High Density Microwave Plasma

Y. Gunjo1, K. Goto1, T. Ishijima1, H. Toyoda1, K. Iseki2, H. Sugai3 (1Nagoya Univ., 2Toyobo Co., Ltd., 3Chubu Univ.)

Resist Removal and Evaluation of Si-Wafer by Atomic Hydrogen

M. Yamamoto1, H. Horibe2, H. Umemoto3, E. Kusano1, S. Tagawa4 (1Kanazawa Inst. of Technology, 2Kanazawa Inst. of Technology Osaka Univ., 3Shizuoka Univ., 4Osaka Univ.)

Low-Damage Processing of Polymers Using Argon/Oxygen Mixture Plasmas Sustained with Multiple Internal Antenna Units

K. Takenaka1, K. Cho2, Y. Setsuhara1, A. Ebe3, M. Shiratani4, M. Sekine5, M. Hori5, J.G. Han6, E. Ikenaga7, H. Kondo8, O. Nakatsuka8, S. Zaima8 (1Osaka Univ. JST, CREST, 2Osaka Univ., 3EMD Corp., 4Kyushu Univ. JST, CREST, 5Nagoya Univ. JST, CREST, 6SungKyunKwan Univ., 7Japan Synchrotron Radiation Research Inst., 8Nagoya Univ.)

Understanding the Mechanisms of Residue Growth after Low-k Patterning with TiN Hard Mask in Fluorocarbon-Based Plasmas

N. Posseme1, R. Bouyssou2, T. Chevolleau2, T. David1, V. Arnal3, C. Verove3, O. Joubert2 (1CEA Leti - Minatec, 2CNRS LTM (CEA Leti - Minatec), 3STMicroelectronics)

Minimizing Ash-Induced Plasma Damage to Patterned 32-nm Node Low-k Structures

H. Struyf, D. Hendrickx, D. Shamiryan, O. Richard, M. Pantouvaki, W. Boullart (IMEC)

Silicon Surface Treatment of Contact Hole in Memory Devices by Downstream Fluorine-Based Plasma

S.K. Yang1, H.H. Kim1, S.G. Park1, J.K. Yang2, C.W. Kim2 (1Inha Univ., 2PSK Inc.)

P2-11 The New Method for High Aspect Ratio Contact Amorphous Carbon Etch for Dimension Less than 50nm

J.R. Han, Y.H. Liao, M. Chen, I. Huang, M. Hsieh, E. Chen, H. Matsuo (Powerchip Semiconductor Corp.)

Characterization of Surface Properties for Low-k SiCOH Films Etching as a Function Plasma Powers and Gas Chemistry

S. Lee1, J. Woo1, C. Choi1, D. Jung1, J. Yang2, J.-H. Boo1, H. Kim1, H. Chae1 (1Sungkyunkwan Univ., 2Dongbu HiTek Co., Ltd.)

Etch Characteristics of HfAlO3 Dielectric Thin Film in Cl2/Ar Inductively Coupled Plasma System

D.-S. Um, J.-C. Woo, D.-P. Kim, C.-I. Kim (Chung-Ang Univ.)

Etch Characteristics of ZrO2 Thin Films in High Density Plasma

J.C. Woo, G.H. Kim, D.P. Kim, D.S. Um, C.I. Kim (Chung-Ang Univ.)

Porous Ti Films Fabrication for Dye-Sensitized Solar Cells Application Using RF Magnetron Sputtering Technology

Y.-M. Sung, D.-W. Han, J.-H. Hu, J. Darma, D.-J. Kwak (Kyungsung Univ.)

The Improvement of Plasma Distribution and Cathode Usage of Magnetron Sputter by Changing Magnetic Field Distribution

C.-H. Shon1, U.-S. Kim2, Y.-M. Sung3 (1Korea Electrotechnology Research Inst., 2Sukwon Engineering, 3Kyungsung Univ.)

The Nitrogen Doping Effect on Crystallization Behavior of GeSb Thin Films for PRAM Application

S.Y. Lee1, H.K. Kim1, J.H. Kim2, J.S. Roh2, D.J. Choi1 (1Yonsei Univ., 2Hynix semiconductor Inc.)

Electrical and Optical Properties of ITO Thin Films by Facing Targets Sputtering

Y.J. Kim, S.B. Jin, S.I. Kim, Y.S. Choi, I.S. Choi, J.G. Han (Sungkyunkwan Univ.)

Nanostructures of Al Doped ZnO Prepared by Direct-Current Magnetron Sputtering Technique

I.J. Kim, I.S. Kim, S.K. Kim, S.Y. Choi (Yonsei Univ.)

Catalyst-Free ZnO Nanowall Structures Synthesized by RF Magnetron Sputtering Method

I.S. Kim, I.J. Kim, D.Y. Kim, S.Y. Choi (Yonsei Univ.)

Cu-Seed Layer Deposition Using Energetic Neutrals and Ions (Eni) Sputter

B.J. Lee1, C.S. Han2, D.D. Lee2, S.T. Lim3, Y.C. Park3, H.J. Sohn3, S.J. Yoo1 (1National Fusion Research Inst., 2TES Corp. Ltd., 3Han-Dong Global Univ.)

Extension of Frequency Shift Probes for Monitoring of Electron Temperature

K. Nakamura, Q. Zhang, H. Sugai (Chubu Univ.)

Numerical Simulation on the Profile of Ar Plasma with RF Effect in Plasma Chambers

T. Ohshita, M. Matsukuma, S.-Y. Kang, M. Kawakami, I. Sawada (Tokyo Electron Ltd.)

Simulation Aided Designing of Density-Inclination Plasmas for Combinatorial Plasmas-Process Analyzer

Y. Setsuhara1, K. Takenaka1, M. Shiratani2, M. Sekine3, M. Hori3 (1Osaka Univ. JST, CREST, 2Kyushu Univ. JST, CREST, 3Univ. JST, CREST)

Effects of Capacitively Coupled Radio Frequency Krypton and Argon Plasmas on Gallium Nitride Etching Damage

R. Kawakami1, T. Inaoka1, K. Tominaga1, T. Mukai2 (1The Univ. of Tokushima, 2Nichia Corp.)

Mechanism and CHARM2 Evaluation of P-MOS Threshold Voltage Drop during High Density Plasma Heat-Up Process

D.-H. Kim1, J.-W. Lee2, J. Lee2, K. Tokashiki2, K. Shin2, J.-H. Kim2, W. Han2, J.-T. Moon2, J.-T. Song3 (1Samsung Electrocnics Co., Ltd. Sungkyunkwan Univ., 2Samsung Electrocnics Co., Ltd., 3Sungkyunkwan Univ.)

Post-Cycling Data Retention Improvement of EEPROM Using Radical Oxidation

M.S. Kim1, I.S. Jo2, B.H. Kim2, Y.H. Roh3 (1Sungkyunkwan Univ. Samsung Electrocnics Co., Ltd., 2Samsung Electrocnics Co., Ltd., 3Sungkyunkwan Univ.)

Dependence of RF-Frequency on Plasma-Induced Damage to Porous Low-k Film

Y. Iba, T. Kirimura, H. Iwata, A. Hasegawa (Fujitsu Microelectronics Ltd.)

Effect of Annealing with Ar Plasma Irradiation for Transparent Conductive Nb-Doped TiO2 Films on Glass Substrate

M. Hojo, K. Okimura (Tokai Univ.)

Influence of Growth Temperature on the Characteristic of Ga-Doped ZnO Thin Films Deposited by Magnetron Sputtering

Y.Y. Kim, B.H. Kong, H.K. Cho (Sungkyunkwan Univ.)

Effects of Various Ion-Implanted Sapphire Substrates for GaN

J. Jhin1, J.H. Baek1, J.S. Lee2 (1Korea Photonics Technology Inst., 2Korea Atomic Energy Research Inst.)

Etch Properties of P-Type ZnO Thin Films in BCl3/Ar Plasma

D.P. Kim, C.M. Kang, D.S. Um, X. Yang, C.I. Kim (Chung-Ang Univ.)

P2-33 Ultraviolet Light Emitting Diodes Using N-ZnO:Ga/i-ZnO/p-GaN:Mg Heterojunction Depending on Growth Temperature of I-ZnO

W.S. Han1, Y.Y. Kim1, B.H. Kong1, H.K. Cho1, J.Y. Moon2, H.S. Lee2 (1Sungkyunkwan Univ., 2Kyungpook National Univ.)

Fabrication of P-ZnO/n-Si Heterojunction Diode by Co-Sputtering

M. Kumar, S.-Y. Choi (Yonsei Univ.)

Electrical Characteristics of Low Temperature Poly-Si Thin Film Transistors Using Lightly Doped Drain (LDD) Structure

J. Cho, S. Jung, J. Kim, H. Son, J. Yi, B. Choi (Sungkyunkwan Univ.)

The Surface Improvement of Polymer Waveguides by Using Chemical Mechanical Polishing

S.W. Kwon1, W.S. Yang2, H.M. Lee2, W.K. Kim2, H.-Y. Lee2, C.M. Choi2, D.H. Yoon1 (1Sungkyunkwan Univ., 2Korea Electronics Technology Inst.)

Synthesis and the Luminescence Studies of Tris-Cyclometalated Iridium(III) Styryl Complexes

H.-s. Lee, Y. Ha (Hongik Univ.)

New Iridium Complexes Containing Phenylpyridine and Triazole Ligands: Synthesis and Photophysical Studies

S.Y. Ahn, Y. Ha (Hongik Univ.)

P2-39 Luminance Mechanisms in Organic Light-Emitting Devices Fabricated Utilizing CdSe/ZnS Quantum Dots and Poly vinylcarbazole Hybrid Nanocomposites

K.S. Lee1, D.C. Choo1, T.W. Kim1, E.D. Ryu2, S.W. Kim2, J.S. Lim3 (1Hanyang Univ., 2Ajou Univ., 3Korea Research Inst. of Chemical Technology)

Efficiency Enhancement in Organic Light-Emitting Devices Utilizing Multiple Heterostructures Acting as an Electron Transport Layer Consisting of 4,7-Diphenyl-1,10-Phenanthroline Layer and Aluminum Tris(8-Hydroxyquinoline)

S.Y. Seo, H.S. Bang, D.C. Choo, T.W. Kim, Y.Y. Jin, J.H. Seo, Y.K. Kim (Hanyang Univ.)

Color Tuning of Organic Light-Emitting Diodes by Adjusting the Ligands of Heteroleptic Iridium(III) Complexes

J.H. Seo, I.J. Kim, Y.K. Kim , Y.S. Kim (Hongik Univ.)

Microcavity Effect in Top-Emission Organic-Light Emitting Diodes with a Use of Al and Ag Electrodes

H.C. An1, H.W. Joo1, S.H. Na1, W.K. Han1, W.J. Lee2, J.W. Hong3, T.W. Kim1 (1Hongik Univ., 2Kyungwon Univ., 3Kwangwoon Univ.)

A Non-Equilibrium State of Discharge with Gliding Arc for Control of Nanofabrication Processes

E. Goldayevich1, E. Martysh2 (1Academy for Municipal Government, 2Kyiv National Univ.)

Design, Fabrication and Characterization of Front-side Dry-Etched Microbridge Structure Thermopile with 3-5 μm Infrared Absorber

K.-P. Yoo, V.R. Singh, K.-H. Kwon, N.-K. Min (Korea Univ.)

ICP Micromachining of Multilayer Thin Films for High-Speed Humidity Sensor Fabrication

J.S. Kim, M.J. Lee, K.-Y. Kwak, N.-K. Min (Korea Univ.)

Fabrication of the Polymer Surface with Stable Hydrophilicity and Regulation of Cell Growth

S. Fujita, N. Saito, O. Takai (Nagoya Univ.)

Fabrication of TiO2 Nanotube Membrane by Anodic Oxidation for Application to Dye-Sensitized Solar Cells

M.-W. Park, K.Y. Chun, B.W. Park, D.W. Han, Y.M. Sung, D.J. Kwak (Kyungsung Univ.)

Visible-Light-Responsible TiO2 Film by a H2-Plasma Processing and the Effect of Pulsed Lights on Photocatalytic Activity

Y. Kudo, H. Fujisawa, S. Kogoshi (Tokyo Univ. of Science)

Diagnostics of Microwave Excited Plasma in Liquid Using Spectroscopic Measurements

H. Sugiura, R. Saito, T. Ishijima, H. Toyoda (Nagoya Univ.)

Rapid Thermal Annealing Effects of Ion-Implanted Sapphire Substrates for GaN

J. Jhin1, J.H. Baek1, J.S. Lee2 (1Korea Photonics Technology Inst., 2Korea Atomic Energy Research Inst.)

Mixing of Silicon Nanoparticles and Graphite Powders by Using Counter-Flow Injection

Y. Cho1, J. Cho1, K. Kim1, J. Park2, S. Doo2, T. Kim1 (1Sungkyunkwan Univ., 2Samsung Advanced Inst. of Technology)

An Atmospheric-Pressure Plasma Jet in a Wide-Slit Configuration for Surface Treatment and Nanomanufacturing

A. Wagner, D. Mariotti (Rochester Inst. of Technology)

Removal of Machine Oil from Metal Surface by Atmospheric-Pressure Mesoplasma Jet

H. Saito1, H. Shiki1, S. Oke1, Y. Suda1, H. Takikawa1, T. Okawa2, S. Yamanaka2 (1Toyohashi Univ. of Technology, 2Daiken Chemical Co., Ltd.)

Etch Process Control for Advanced Logic Development

R. Wise (IBM)

< DPS2008 Best Paper Award Winner >

Prediction of Fluctuations in Plasma Wall Interactions Using an EES

M. Fukasawa, A. Kawashima, N. Kuboi, H. Takagi, Y. Tanaka, H. Sakayori, K. Oshima, K. Nagahata, T. Tatsumi (Sony Corp.)

Improvement of Pattern-to-Pattern CD Variation by Model Based Method in Gate Poly-Si Etching Process

K. Tamura, T. Yoshioka, K. Ohmori, H. Yamauchi, M. Sato, A. Kawamura (SHARP Corp.)

Effects of MOSFET Dimensions on Threshold Voltage in 65-nm SoC Devices

M. Kurihara1, M. Izawa1, K. Kawai2, R. Yoshifuku2, T. Maruyama2, N. Fujiwara2, J. Tanaka1 (1Hitachi Ltd., 2Renesas Technology Corp.)

GeOxNy Interfacial Layers for High-k/Ge Gate Stacks: Chemical States and Electrical Correlations

Y. Oshima1, P.C. McIntyre2 (1Tokyo Electron U.S. Holdings, Inc. Stanford Univ., 2Stanford Univ.)

Mechanism of Chemical Dry Etching of Silicon Nitride Using CF4CH2F2/O2 Gas Mixtures

S. Ogino, K. Yonekura, Y. Miyagawa, N. Fujiwara (Renesas Technology Corp.)

Comparison between Simplified Langmuir-Hinshelwood Surface Reaction Model and Experimental Plasma Etching Rate

T. Iwakoshi, T. Aoyama, Y. Nara, Y. Ohji (Selete)

Reaction Mechanisms during Plasma-Assisted ALD of Metals and High-k Oxides

W.M.M. Kessels (Eindhoven Univ. of Technology)

Development of Autonomous Nano-Etching Process System

S. Takahashi1, R. Kawauchi2, S. Den3, T. Katagiri3, H. Kano4, T. Suzuki5, T. Ohta6, M. Ito6, M. Hori7 (1Nagoya Univ. Katagiri Engineering Co., Ltd., 2COM electronics development Co., Ltd., 3Katagiri Engineering Co., Ltd., 4NU- Eco Engineering Co., Ltd., 5Nagoya Univ., 6Wakayama Univ., 7Nagoya Univ.)

Synchronous Pulse Plasma Operation upon Source and Bias RFs for Inductively Coupled Plasma Etching

K. Tokashiki1, H. Cho1, S. Banna2, J. Lee1, V. Todorow2, K. Shin1, W.-S. Kim1, K. Bai1, J. Yoon1, D. Lymberopoulos2, W. Han1 (1Samsung Electronics Co., Ltd., 2Applied Materials Co., Ltd.)

Reduction of Moisture in Semiconductor Dry Process Equipment by Generating Extremely Low-Oxygen Ambience

K. Endo1, N. Shirakawa1, Y. Yoshida1, T. Iwase1, T. Mino2 (1AIST Redoxyon Inc., 2Redoxyon Inc.)

< DPS2008 Young Researcher Award Winner >

Generation of High Density Thermal Plasma Jet and Its Application to Millisecond Annealing of Si Wafer Surface for Shallow Junction Formation

H. Furukawa, S. Higashi, T. Okada, H. Murakami , S. Miyazaki (Hiroshima Univ.)

IR and UV Absorption Measurements during NOx Reduction by Plasma-Generated Ozone in a Large Scale Experiment

W. Chen1, E. Stamate1, A. Fateev1, P.K. Michelsen1, L. Jorgensen2, T. Jensen2 (1Technical Univ. of Denmark, 2Danish Gas Technology Centre)

A study on the Etching Characteristics of Organic Layer in Oxygen Plasma with Carbonyl Sulfide

J.K. Kim, Y.J. Choi, S.-I. Cho, S.S. Jeong, S.W. Nam, C.-J. Kang, T.H. Ahn (Samsung Electronics Co., Ltd.)

Plasma Impact on 193nm Photoresist LWR

M. Martin1, E. Pargon1, K. Menguelti1, J. Foucher2, O. Joubert1 (1CNRS LTM (CEA LETI- Minatec), 2CEA LETI - Minatec)

Profile Degradation in High-Aspect-Ratio-Contact Etching

M. Miyake1, N. Negishi1, M. Izawa1, K. Yokogawa1, M. Oyama2, T. Kanekiyo2 (1Hitachi, Ltd., 2Hitachi High-Technologies Co.)

Mechanism of a Large Critical Dimension Shift of a Large Volume Pattern in Multi-Layer Resist Process

M. Tadokoro, R. Yoshifuku, M. Shinohara, R. Ohnuki, T. Maruyama, N. Fujiwara (Renesas Technology Corp.)

Sub-32nm Line and Space Patterning Using Sidewall Transfer Process

K. Yahashi, M. Ishikawa, H. Oguma, M. Omura, S. Takahashi, M. Iwase, H. Hayashi, I. Sakai, M. Hasegawa, T. Ohiwa (Toshiba Corp. Semiconductor Co.)