Publication

Publication

Total 327 peer-reviewed papers (journal 289 papers + 14 paper in Japanese + 15 peer-reviewed proceedings + 9 other papers);

4653 {5484} total citations ; h-index 33 , {36 Scopus }

Topics FC Fluorocarbon plasmas, HN hydrogen-nitrogen mixture plasmas, APP Atmospheric pressure plasmas, PA Plasma agriculture, and PM Plasma medicine, dia Plasma processes for diamond, C nano-carbon, Si nanocrystalline silicon, GaN Gallium nitrides

Methods IR Infrared spectroscopic studies, LIF Laser induced fluorescence, ESR Electron spin (Paramagnetic) resonance, Beam Surface reactions under ion beam irradiation, QC Quantum chemical computation

2024

  • [289] FC Dissociative properties of C4F6 obtained using computational chemistry
    • Japanese Journal of Applied Physics 63, 04SP26 pp. 1-5 (April, 2024). (DOI)
      • Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
  • [288] C Low-temperature growth at 225 oC and characterization of carbon nanowalls synthesized by radical injection
    plasma-enhanced chemical vapor deposition
    • Vacuum 224, 113180 pp. 1-8 (2024). (DOI)
      • Ngo Quang Minh, Ngo Van Nong, Osamu Oda, Kenji Ishikawa, and Masaru Hori
  • [287] C Nitrogen admixture effects on growth characteristics and properties of carbon nanowalls
    • Thin Solid Films 795, 140322 pp. 1-8 (March 30, 2024). (DOI), (SSRN Preprint)
      • Peter Raj Dennis Christy, Ngo Van Nong, Nikolay Britun, Ngo Quang Minh, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Osamu Oda, Kenji Ishikawa and Masaru Hori
  • [286] OPEN Plasma-driven sciences: Exploring complex interactions at plasma-boundaries
    • Plasma (mdpi) 7 (1), pp. 160-177 (February 27, 2024). (DOI)
      • Kenji Ishikawa, Kazunori Koga, and Noriyasu Ohno
  • [285] OPEN PM Elaborate Cooperation of Poly(rC)-binding Proteins 1/2 and Glutathione in Ferroptosis Induced by Plasma-activated Ringer' s Lactate
    • Free Radical Biology and Medicine 214, pp. 28-41 (February 9, 2024). (DOI)
      • Li Jiang, Hao Zheng, Moe Ishida, Qinying Lyu, Shinya Akatsuka, Yashiro Motooka, Kotaro Sato, Yoshitaka Sekido, Kae Nakamura, Hiromasa Tanaka, Kenji Ishikawa, Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, and Shinya Toyokuni
  • [284] OPEN PA Oxygen radical irradiation transforms an organic fertilizer l-tryptophan into an environment and human-friendly bactericide
  • [283] OPEN Etch Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO2 or OCS for high-aspect-ratio etching
    • Applied Surface Science 645, 158876 pp. 1-6 (February 1, 2024) (DOI)
      • Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Yuta Aoki, Hiroyasu Sato, Junichi Kawakami, Shuji Tsuno, Shin-Nan Hsiao, and Masaru Hori
  • [282] ESR High linear energy transfer (LET) nature of alanine radical yield by soft X-ray irradiations studied by electron spin resonance (ESR) applications
    • Radiation Physics and Chemistry 214, 111304 pp. 1-6 (January, 2024). (DOI)
      • Seiko Nakagawa, Akinari Yokoya, Maki Ohara, Noriko Usami, Mizue Asada, Motoyasu Fujiwara, Toshikazu Nakamura, Kenji Ishikawa

2023

  • [281] OPEN Etch Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6
    • Applied Surface Science 638, 157981 pp. 1-14 (November 30, 2023). (DOI)
      • Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
  • [280] Etch An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases
    • Applied Physics Letters 123, 212106 pp. 1-6 (November 21, 2023) (DOI)
      • Shih-Nan Hsiao, Makoto Sekine, Kenji Ishikawa, Yuki Iijima, Yoshinobu Ohya, and Masaru Hori
  • [279] GaN Study of the behavior of trimethyl gallium and triethyl gallium by optical emission spectroscopy and quadrupole mass spectroscopy for the growth of GaN by REMOCVD (Radical-Enhanced Metalorganic Chemical Vapor Deposition)
    • Japanese Journal of Applied Physics 62 (SN), SN1019 pp. 1-7 (November 2, 2023). (DOI)
      • Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori
  • [278] C Deposition of carbon-based materials directly on copper foil and nickel foam as 2D and 3D-networked metal substrates by in-liquid plasma
    • Plasma Processes and Polymers 20 (11), 2300036 pp.1-13 (November 1, 2023). (DOI)
      • Ma. Shanlene D.C. Dela Vega, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
  • [277] OPEN PM Generation and measurement of low-temperature plasma for cancer therapy: A historical review
    • Free Radical Research 57 (3), pp. 239-270 (July, 2023). (DOI)
      • Kenji Ishikawa, Keigo Takeda, Shinji Yoshimura, Takashi Kondo, Hiromasa Tanaka, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, and Masaru Hori
  • [276] PA  Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer’s rice cultivar Yamadanishiki
    • Free Radical Research 57 (3), pp. 161-173 (July, 2023) (DOI)
      • Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
  • [275] PM  Cancer-specific cytotoxicity of Ringer's acetate solution irradiated by cold atmospheric pressure plasma
    • Free Radical Research 57 (2), pp. 91-104 (June, 2023) (DOI)
      • Camelia Miron, Kenji Ishikawa, Satoshi Kashiwagura, Yuki Suda, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Masaki Mizuno, and Masaru Hori
  • [274] APP Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets
    • Japanese Journal of Applied Physics 62 (SL), SL1011 pp. 1-5 (June 8, 2023). (DOI)
      • Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzukka, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
  • [P15] Etch Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool
    • SPIE proceedings: Advanced Etch Technology and Process Integration for Nanopatterning XII 12499, 124990E (2023) (DOI)
      • Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
  • [273] PM  Organic decomposition and synthesis reactions in lactated solution exposed to non-equilibrium atmospheric pressure plasma
    • Plasma Processes and Polymers 20 (5) 2200193 pp. 1-14 (May 1, 2023). (DOI)
      • Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Camelia Miron, Takashi Kondo, Kae Nakamura, Masaaki Mizuno, Hiroaki Kajiyama, Shinya Toyokuni, and Masaru Hori
  • [272] Leukocyte depletion and size-based enrichment of circulating tumor cells using a pressure-sensing microfiltration device
    • ACS Measuremt Science Au 3 (2), pp. 113–119 (April 19, 2023). (DOI)
      • Daisuke Onoshima, Tetsunari Hase, Naoto Kihara, Daiki Kuboyama, Hiromasa Tanaka, Naoya Ozawa, Hiroshi Yukawa, Mitsuo Sato, Kenji Ishikawa, Yoshinori Hasegawa, Makoto Ishii, Masaru Hori, and Yoshinobu Baba
  • [271] Etch Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma
    • Vacuum 210, 111863 pp. 1-10 (April 1, 2023). (DOI)
      • Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
  • [270] High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts
    • Scientific Reports 13, 4130 pp. 1-9 (March 13, 2023). (DOI) Research Square (Preprint)
      • Hiromasa Tanaka, Daijiro Iwata, Yuki Shibata, Tetsunari Hase, Daisuke Onoshima, Naoyuki Yogo, Hirofumi Shibata, Mitsuo Sato, Kenji Ishikawa, Ikuo Nagasawa, Yoshinori Hasegawa, Makoto Ishii, Yoshinobu Baba, and Masaru Hori
  • [269] PM Plasma activated Ringer's lactate solution
    • Free Radical Research 57 (1), pp. 14-20 (March 1, 2023) (DOI)
      • Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, and Masaru Hori
  • [268] PA Plasma-assisted priming: improved germination and seedling performance of papaya
    • Sains Malaysiana 52 (2) pp. 599-611 (February 2023). (DOI)
      • Deng-Ke Xi, Seong Ling Yap, Nitturi Naresh Kumar, Chian Cheng Toh, Kenji Ishikawa, and Masaru Hori
  • [267] OPEN Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies
    • Japanese Journal of Applied Physics 62 (SA), SA0803 pp. 1-37 (February 2023). (DOI)
      • Makoto Kambara, Satoru Kawaguchi, Hane June Lee, Kazumasa Ikuse, Satoshi Hamaguchi, Takeshi Ohmori, and Kenji Ishikawa
  • [266] PM Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds
    • Japanese Journal of Applied Physics 62 (SA), SA1017 pp. 1-10 (February 2023). (DOI)
      • Koki Ono, Takashi Koide, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Ayae Sugawara-Narutaki, Yong Jin, Shigeo Yasuhara, Masaru Hori, and Wakana Takeuchi
  • [265] GaN Thermal cyclic etching of GaN using sequential exposures of Cl2 plasma and Ar plasma at substrate temperature of 400°C
    • Journal of Applied Physics 133, 043302 pp. 1-11 (January 24, 2023). (DOI)
      • Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, Makoto Sekine, Osamu Oda, and Masaru Hori
  • [264] C Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method
    • Materials Science and Engineering A 862, 144428 pp. 1-8 (January 18, 2023). (DOI)
      • Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Kenji Ishikawa, Osamu Oda, Noritsugu Umehara, and Masaru Hori
  • [263] OPEN PM Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity
    • Genes and Environment 45, 3 pp. 1-10 (January 13, 2023) (DOI)
      • Yang Liu, Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, Kenji Ishikawa, Masaharu Shiratani, and Masaru Hori 

Before 2022

Go to Old publications


Selected publications in old publications

  • [233] Etch Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar
    • Applied Surface Science 541, 148439 (pp.1-8) (March 1, 2021). (DOI)
      • Shih-Nan Hsiao, Kenji Ishikawa, Toshio Hayashi, Jiwei Ni, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
  • [228] Free Insights into normothermic treatment with direct irradiation of atmospheric pressure plasma for biological applications
    • Japanese Journal of Applied Physics 60, 010502 (pp.1-12) (January, 2021). (DOI) in Selected Topics in Applied Physics
      • Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, and Kenji Ishikawa
  • [215] PM Non-thermal plasma–activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism
    • Archives of Biochemistry and Biophysics 688, 108414 (pp. 1-9) (July 30, 2020). (DOI)
      • Kenji Ishikawa, Yugo Hosoi, Hiromasa Tanaka, Li Jiang, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
  • [183] PM Nonthermal plasma-activated medium (PAM) modified metabolomic profiles in glycolysis of U251SP glioblastoma
    • Archives of Biochemistry and Biophysics 662, pp.83-92 (February 15, 2019) (DOI)
      • Naoyuki Kurake, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
  • [182] PM Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources
    • Journal of Physics D:Applied Physics 52 (16), 165202 (pp. 1-13) (February 15, 2019) (DOI)
      • Keigo Takeda, Hiromasa Yamada, Kenji Ishikawa, Hajime Sakakita, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Yoshihiro Akimoto, Yosky Kataoka, Naoaki Yokoyama, Yuzuru Ikehara, and Masaru Hori
  • [161] OPEN Etch Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?
    • Japanese Journal of Applied Physics 57 (6S2), 06JA01 (pp. 1-18) (May 25, 2018). (DOI)
      • Kenji Ishikawa, Kazuhiro Karahashi, Tatsuo Ishijima, Sung Il Cho, Simon Elliott, Dennis Hausmann, Dan Mocuta, Aaron Wilson, and Keizo Kinoshita
  • [130] Etch Progress and prospects in nanoscale dry processes - How can we control atomic layer reactions?
    • Japanese Journal of Applied Physics 56 (6S2), 06HA02 (pp. 1-13) (June 1, 2017). Progress Review of DPS special issue. (DOI)
      • Kenji Ishikawa, Kazuhiro Karahashi, Takanori Ichiki, Jane P. Chang, Steven M. George, W. M. M. Kessels, Hae June Lee, Stefen Tinck, Jung Hwan Um, and Keizo Kinoshita
  • [112] PA Cold plasma interactions with enzymes in foods and model systems
    • Trends in Food Science & Technology 55, pp. 39-47 (September 1, 2016). (DOI)
      • N. N. Misra, S. K. Pankaj, Annalisa Segat, and Kenji Ishikawa
  • [111] PM Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium
    • Archives of Biochemistry and Biophysics 605, pp. 102-108 (September 1, 2016). (DOI)
      • Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
  • [44] ESR PA Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas
    • Applied Physics Letters 101 (1), 013704 (pp. 1-4) (July 2, 2012). (DOI), Manuscript arXiv
      • Kenji Ishikawa, Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
  • [34] ESR Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study
    • Journal of Physical Chemistry Letters 2, pp. 1278-1281 (2011). (DOI), Manuscript arXiv
      • Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

Before 2021

Go to Old publications


Copyright Kenji Ishikawa (c) 2009-2023 Center for Low-temperature plasma sciences, Nagoya University.

Last-modified: 2024-04-13 (土) 08:44:53