Award

FrontPage

本人

  • 1. 2003 spring MRS best poster award:
    • E3.28 VAPOR TREATMENT OF COPPER SURFACE USING ORGANIC ACIDS
      • 2003年 石川健治, 柳下皓男, 中村守孝
  • 2. ASET 優秀研究員
    • “SiO2およびポリマーのプラズマエッチング表面反応の研究”
      • 2003年 石川健治
  • 3. 第72回半導体・集積回路技術シンポジウムアワード (72nd symposium on semiconductor and integrated circuits technology)
    • 有機酸ドライクリーニングによるコンタクトビア形成による歩留まり・信頼性の向上
      • 2009年 プログラム(pdf) 石川健治, 工藤寛、中石雅文、筑根敦弘、尾崎史朗,中田義弘、秋山深一、水島賢子、林雅一、A. A. Akbar,河野隆宏、岩田浩、射場義久、大場隆之、二木俊郎,中村友二、杉井寿博
  • 4. 第11回アジア太平洋プラズマ科学会議(APCPST&SPSM) Plasma Science Award
    • Analysis of The Intracellular Molecular Mechanisms of Plasma-Activated Medium Mediated Cell Death in Glioma Brain Tumor Cells
      • 2012年 Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori
  • 5. 11th Plasma Electronics Award, Division of Plasma Electronics, The Japan Society of Applied Physics (第11回プラズマエレクトロニクス賞)
    • Synergistic Formation of Radicals by Irradiation with both Vacuum Ultraviolet and Atomic Hydrogen: a Real-time in situ Electron Spin Resonance Study
      • 2013年3月28日 石川健治, 鷲見直也,河野 昭彦, 堀邊 英夫,竹田圭吾,近藤博基,関根誠,堀勝
  • 6. 37th JSAP Outstanding Paper Award (第37回(2015年度)応用物理学会論文賞)
    • Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals Jpn. J. Appl. Phys. 53 (2014) 010209
      • 2015年9月13日 橋爪博司、太田貴之、竹田圭吾、石川健治, 堀勝, 伊藤昌文  link

学生ほか

  1. 第八回Cat-CVD研究会 優秀ポスター賞
    • 水素ラジカル照射による有機薄膜表面処理の実時間・その場電子スピン共鳴(ESR)解析
      • 2011年6月18日 鷲見直也, 石川 健治, 河野 昭彦, 堀邊 英夫, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝
  2. 第31回(2011年秋季)応用物理学会 講演奨励賞
    • 30a-M-7 GaNにおけるプラズマダメージの水素ラジカル修復
      • 2011年 link 陳尚, 盧 翌,米谷亮祐,竹田圭吾,石川健治,近藤博基,加納浩之,徳田 豊,関根 誠,江川孝志,天野 浩,堀 勝
  3. ICTF-15 薄膜研究奨励賞
    • Relationship between Radicals in Gas Phase and Film Property of Silicon Thin Films in SiH4/H2 Plasma CVD
      • 2011年 福島敦史, 阿部祐介, 竹田圭吾,近藤博基,石川健治,関根 誠,堀 勝
  4. 第14回先進プラズマプロセス・診断の国際ワークショップ最優秀学生賞
    • Modification of ArF Photoresist Caused by Irradiation of Fluorocarbon Plasma-beam
      • 2012年1月 竹内拓也, 尼崎新平, 竹田圭吾,近藤博基,石川健治,関根 誠,堀 勝
  5. 第32回(2012年春季)応用物理学会 講演奨励賞
    • 16a-E3-5 有機材料エッチングによる電界電子放出ナノピラーの作成
      • 2012年 link 鈴木俊哉, Arkadiusz Malinowski,竹田圭吾,近藤博基,石川健治,節原裕一,白谷正治,関根 誠,堀 勝
  6. 第六回プラズマエレクトロニクス インキュベーションホール
    • 題目
      • 2012年9月19日 堤 隆嘉
  7. Dry Process Symposium (DPS) Young Researcher Award
    • Real-time / In-situ Electron Spin Resonance Analysis of Surface Reactions on Organic Materials with Atomic Hydrogen Irradiation
      • 2012年11月14日 鷲見直也, 石川 健治, 河野 昭彦, 堀邊 英夫, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝
  8. 第33回(2012年秋季)応用物理学会 講演奨励賞
    • 11p-E2-8 窒化ガリウム(GaN)向けサファイア基板の周波数領域型低コヒーレンス干渉計による温度計測
      • 2012年 link 堤 隆嘉, 竹田圭吾,石川健治,近藤博基,太田貴之,伊藤昌文,関根 誠,堀 勝
  9. 第16回先進プラズマプロセス・診断の国際ワークショップ最優秀学生賞
    • Effects of Hydroxyl Radicals on Crystallographic and Electronic Structures of Carbon Nanowalls
      • 2013年1月25日 下枝弘尚, 竹田圭吾,近藤博基,石川健治,関根 誠,堀 勝
  10. 第34回(2013年春季)応用物理学会 講演奨励賞
    • Behaviors of activated species generated by AC power excited non-equilibrium atmospheric pressure Ar plasma jet in atmosphere
      • 2013年5月15日 link 竹田圭吾石川健治,田中宏昌,加納浩之,関根 誠,堀 勝
  11. 第66回日本酸化ストレス学会 優秀演題賞(ポスターアワード)
    • 卵巣癌細胞におけるプラズマの抗腫瘍効果とROSの関与
      • 2013年6月14日 中村 香江, 梶山 広明, 内海史, 田中 宏昌, 水野 正明, 石川 健治, 近藤 博基, 加納 浩之, 堀 勝, 吉川 史隆
  12. 第66回日本酸化ストレス学会 優秀演題賞(ポスターアワード)
    • 低温酸素プラズマを用いたミドリカビ胞子の殺菌
      • 2013年6月14日 太田貴之, 橋爪 博司,伊藤 昌文,石川 健治, 竹田 圭吾,堀 勝
  13. 9th International Conference on Reactive Plasmas (ICRP) Plasma silver award (第8回反応性プラズマ国際会議奨励シルバー賞)
    • Effect of gas flow on spatial distribution of O (3Pj) atoms in ac power excited non-equilibrium atmospheric pressure O2/Ar plasma jet (5P-AM-S02-P09)
      • 2014年2月7日 竹田圭吾
  14. 第八回プラズマエレクトロニクス インキュベーションホール
    • 題目
      • 2014年9月2日 倉家尚之
  15. 電気学会東海支部長賞
    • 題目
      • 2015年3月1日 古田凌
  16. 10th asian-european international conference of plasma surface engineering (AEPSE) Student award
    • Hydrogen peroxide and nitrous ion generated in culture media by irradiation of non-equilibrium atmospheric pressure plasmas
      • 2015年9月23日 倉家尚之
  17. 36th International Symposium on dry processes (DPS) young researcher award
    • Damage-formations in GaN processed at high temperatures (F-4)
      • 2015年11月1日 Zecheng Liu, J. Pan, Kenji Ishikawa, K. Takeda, H. Kondo, M. Sekine, and M. Hori
  18. ISPlasma 2016/IC-PLANTS 2016 Excellent Presentation Award (Oral) web
    • Antitumor Effect of Plasma-activated-medium (PAM) Added with Scavenger
      • 2016年3月10日 Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori
  19. The 26th annual meeting of MRS-J 講演奨励賞
    • Temperature control ...
      • 2016年12月22日 Tsutsumi Takayoshi
  20. 第34回プラズマプロセシング研究会、第29回プラズマ材料科学シンポジウムSPP講演奨励賞
    • Intracellular Response Analysis of HeLa Cells Treated with Plasma-Activated Medium
      • 2017年1月18日 Ryo Furuta, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Keigo Takeda, Takayuki Ohta, Hiroki Kondo, Masafumi Ito, Makoto Sekine, and Masaru Hori
  21. 電気学会東海支部長賞
    • 題目
      • 2017年3月1日 市川知範
  22. IEEE名古屋支部 優秀学生賞
    • Study on biomedical and chemical reactions in culture medium irradiated with non-equilibrium atmospheric pressure plasma
      • 2017年3月31日 Naoyuki Kurake
  23. ICPM6 Participants' Poster Prize
    • ...
      • 2017年9月5日 Hiroshi Hashizume
  24. 38th International Symposium on dry processes (DPS) young researcher award
    • Phase-resolved measurement of electron density in afterglow of synchronized dc-imposed pulsed plasmas of fluorocarbon based gases
      • 2016年11月(表彰2017年11月) Toshinari Ueyama, M. Iwata, Y. Fukunaga, T. Tsutsumi, K. Takeda, Kenji Ishikawa, M. Sekine, Y. Ohya, M. Hori, and H. Sugai
  25. ISPlasma 2017/IC-PLANTS 2017 Best Presentation Award (Oral) web
    • Active Control of Reactive Oxygen and Nitrogen Species (RONS) in Plasma-Activated Media (PAM) (04aB08O)
      • 2017年3月6日 Timothy R. Brubaker, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori
  26. 応物東海支部学術講演会発表奨励賞, 第 5 回 応用物理学会スチューデントチャプター 東海地区学術講演会, 於:名古屋大学
    • Surface assisted laser desorption (SALDI) on carbon nanowalls (CNW)
      • 2017年10月29日 伊藤寛納, 太田貴之(名城大学),石川健治,...
  27. 若手研究発表賞, レーザー学会中部支部2017年度若手研究者研究発表会, 於:静岡大学
    • Surface assisted laser desorption (SALDI) on carbon nanowalls (CNW)
      • 2017年12月1日 伊藤寛納, 太田貴之(名城大学),石川健治,...
  28. 平成29年 優秀論文発表賞(基礎・材料・共通部門表彰) 電気学会プラズマ研究会
    • Surface assisted laser desorption (SALDI) on carbon nanowalls (CNW)
      • 2017年8月11,12日(表彰 2018年9月4,5日) 伊藤寛納, 太田貴之(名城大学),石川健治,...
  29. ISPlasma 2018/IC-PLANTS 2018 Best Presentation Award (Oral) web
    • Quality dependence of epitaxial growth of GaN/InN on different rough Si substrates by radical enhanced matelorganic chemical vapor depositon
      • 2018年3月8日 Amalraj Frank Wilson, Dhasiyan Arun Kumar, Naohiro Shimizu, Osamu Oda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine and Masaru Hori
  30. 第44回(2018年春季)応用物理学会講演奨励賞
    • プラズマ活性乳酸の物理化学解析
      • 2018年3月19日(表彰 2018年9月18日) 細井 祐吾, 石川 健治,橋爪 博司,田中 宏昌,吉川 史隆,水野 正明,堀 勝
  31. 5th International atomic layer etching workshop (ALE) 2018 Poster award
    • In situ Quantitative Analysis of Chlorine Adsorption on Ion-irradiated GaN for Atomic Layer Etching
      • 2018年8月1日 Masaki Hasegawa, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa, and Masaru Hori
  32. 第11回プラズマエレクトロニクス インキュベーションホール 優秀ポスター賞
    • 2018年9月3日 前田昌吾
  33. MRS-J 2018 第28回 日本MRS年次大会講演奨励賞
    • title
      • 細井祐吾
  34. MRS-J 2018 第28回 日本MRS年次大会講演奨励賞
    • title
      • 市川知範
  35. 40th International Symposium on dry processes (DPS) young researcher award
    • title
      • 2018年11月14日(表彰2019年11月) 中根一也
  36. ISPlasma 2019/IC-PLANTS 2019 Best Oral Presentation Awards in Plasma Science
    • (20aE02O) Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time
      • 2019年3月21日 Hirotsugu Sugiura, Yasuyuki Ohashi, Ligyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori
  37. ISPlasma 2019/IC-PLANTS 2019 Best Oral Presentation Awards in Bio Applications
    • (18pD12O) Simaltaneous Achievement of Bactericidal Efficacy and Plant-growth in Neutral pH Range using Radical-Activated Benzoic Compounds
      • Naoyuki Iwata, Gamaleev Vladislav, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori and Masafumi Ito
  38. ISPlasma 2019/IC-PLANTS 2019 Best Poster Presentation Awards in Bio Applications
    • (19P3-42) Cytotoxicity of Plasma-Activated Glucose and Amino Acids
      • Maho Yamada, Nanami Ito, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa, and Masaru Hori
  39. ISPlasma 2019/IC-PLANTS 2019 Best Poster Presentation Awards in Bio Applications
    • (19P3-43) Morphological Dynamics of Dying Cells Incubated in Plasma-Activated Medium
      • Nanami Ito, Maho Yamada, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa, and Masaru Hori
  40. 10th Asian-European international conference of plasma surface engineering (AEPSE) Student award
    • 2019年9月6日 中根一也
  41. 10th Asian-European international conference of plasma surface engineering (AEPSE) Student award
    • 2019年9月6日 長谷川将希
  42. 10th Asian-European international conference of plasma surface engineering (AEPSE) Encourage award
    • 2019年9月6日 濱地遼
  43. 第12回プラズマエレクトロニクス インキュベーションホール 優秀ポスター賞
    • 2019年9月10日 森山達行
  44. 第12回プラズマエレクトロニクス インキュベーションホール 優秀ポスター賞
    • 2019年9月10日須田雄貴
  45. ISPlasma 2021/IC-PLANTS 2021 ISPlasma Best Oral Presentation Awards
    • (09pB15O) Design of Removal Process of SnO2 on Glass by H2/Ar Plasma at Atmospheric Pressure and Medium Pressure (March 2021)
      • Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
  46. ISPlasma 2021/IC-PLANTS 2021 ISPlasma Best Oral Presentation Awards
    • (08pE13O) Dependency of Bactericidal Effect in Oxygen-Radical-Exposed E. Coli Suspension Containing L-Tryptophan on its Concentration
      • Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Jun-Seok Oh, Masafumi Ito and Masaru Hori
  47. ISPlasma 2021/IC-PLANTS 2021 ISPlasma Best Short Presentation Awards
    • (08P-25) Study of Etching Process Using Gas Condensed Layer at Cryogenic Temperature 2
      • Masahiro Hazumi, S. Selvaraj, S. N. Hsiao, C. Abe, T. Sasaki, H. Hayashi, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori
  48. 最優秀発表賞 応用物理学会
    • 2021年3月17日
      • 岩田直幸
  49. ISPlasma2022 The Best Oral Presentation Awards
    • 2022年3月10日
      • Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
  50. ISPlasma2022 The Best Short Presentation (poster) Awards
    • 2022年3月10日
      • Kenichi Inoue, Noritaka Sakakibara, Taku Goto, Tsuyohito Ito, Yoshiki Shimizu, Kenji Ishikawa, Masaru Hori and Kazuo Terashima

Copyright Kenji Ishikawa (c) 2009-2023 Center for Low-temperature plasma sciences, Nagoya University.

Last-modified: 2022-07-18 (月) 18:04:08