Book04

「次世代ナノエレクトロニクスにおける絶縁超薄膜技術と膜・界面の物性科学」

第5編 絶縁成膜とエッチング 

第5章 層間絶縁膜の成膜とエッチング

5-1.はじめに

 半導体製造に代表される微細加工の寸法はナノメータに既に達しており,なおも進展を続け今後はサブナノメータ制御される加工技術が必須となってきている.材料成膜やエッチングといった,材料の微細加工プロセスにおいて「寸法・形状といった物理的性質」と「材質・欠陥といった化学的性質」を制御することがプロセス設計の勘所となる.さらに,半導体プロセスではウェハ面内からウェハ間の均一性が要求されており,システム要件を確保する動作性能や信頼性を試行錯誤によって得ることは困難となってきており課題が山積している状態である.したがって,実プロセスで生じている現象を原理まで遡り,プロセスの機構解明が切に望まれている.プラズマプロセス中には固体材料表面で生じる反応機構の解明には,プラズマから入射するイオン・ラジカル・フォトンといったさまざまな粒子が複雑な相互作用をもって表面反応を生じているため,イオン遮蔽板・遮光板やイオンビームなどを活用して粒子個別の作用とお互いの相乗効果について調べていくなどが重要となってきている.このような背景の下,膜ならびにそれら界面の物性科学の重要性はますます高まりつつある.

 本稿では,層間絶縁膜の成膜とエッチングに関して述べている.はじめにそれら技術が駆使して作製されるナノエレクトロニクスの配線の工程について説明し,成膜やエッチングといったプラズマプロセスの物性科学に関する話題を紹介する.

5-2.ナノエレクトロクスにおける配線形成プロセス

 1997年頃から半導体製造にCu配線が導入されて以来,現在では主流となっているが,それ以前の100nmノードより大きいスケールではAl配線が主流であり,Alのエッチングをおこなってからその配線を覆うように絶縁膜を堆積して,配線層の間のビア(縦穴)はタングステン(W)で貫通する配線形成が行われていた歴史がある.つまり配線のエッチングをおこなって絶縁物を堆積するという順序でプロセスされていた.Cu配線では,これが逆になり絶縁物をエッチングしてからCu配線を埋込堆積するという順序でおこない,これはダマシン工程(象嵌と同じ方法)と呼ばれている.(図1)

図1. ダマシン工程のCu配線作製工程

 Cu配線でダマシン工程に変わった大きな理由には,十数層に及ぶ多層配線構造が要求されたことが大きい.1)製造面から見れば工程数をいかに減らし製造コストを削減するか,2)性能面からCuの低抵抗性が挙げられる.実際多層配線構造では配線層分のラインとそれら配線層間を繋ぐビアを作製するため,その工程数は極めて多い.特にラインとビアのパターン作製にはリソグラフィーが必要であり,微細なパターンの露光ほど焦点深度が浅くなってくるため,表面の平坦化が重要となる.そのためには平坦化プロセスが鍵となり,一般に金属と絶縁膜を比べると金属の方が柔らかいために平坦化プロセスは概してやりやすい.そのため,絶縁膜を先に微細加工してから,その中に銅を埋め込むダマシン法を使う方法が選ばれるようになったといえる.Cuの埋め込みにはスパッタ法やCVD法も選択肢としてはあったが,スパッタ法によるシード堆積に引き続きめっき法で厚膜堆積するという方法が採用されている.この方法を使うとプロセスの習熟がなされればビアとラインを同時に作製するデュアルダマシン法に移行することができ,工数の大幅な削減が可能となることは最大のメリットである.このことから,今後のナノエレクトロニクスの配線形成においても,その優位性を覆すのは困難と考えられ,材料の変更や些細な方法の変更はあるものの簡単には大幅な置き換えはないだろう.

 本稿では,Cuのめっき堆積やCuの拡散防止膜といった金属成膜に関する内容は述べていない.一方,プラズマCVDによる絶縁膜の堆積とプラズマエッチングについて述べることにする.

 前述のCu配線と合わせてその周囲を覆う絶縁膜には,前章まで十分な説明がある通りLow-κと呼ばれる材料が導入された.長い間,絶縁膜には誘電率にして4.0を有するシリコン酸化膜という材料が使われてきた.しかしながら,この絶縁膜は相互の配線の上下左右前後を覆っている.言うまでもないが配線同士はこの絶縁膜を介して静電的に結合した状態にある.一番簡単な例は隣接して2本の配線ラインが配置された場合であり,配線高さを配線長さにわたり相互に平行平板様の静電結合を生じていることとなる.つまり,電気的なキャパシタが形成されることに他ならず,この静電容量は配線の間隔に反比例し,絶縁膜の誘電率に比例する.特に信号伝搬に係わるスイッチングでは,この静電結合への充放電現象が伝搬遅延(∝RC)や電力消費(∝CV2)に大きく影響する.特にナノエレクトロニクス時代では,この大きさは配線間隔の縮小に反比例するために,より顕著となる.このため,静電容量を下げるために低い誘電率をもつ(Low-κ)材料を層間絶縁膜に使う努力がなされ,Low-κによって信号遅延や電力消費の低減が大いに実現された.究極には真空の誘電率1を実現するエアーギャップや空中配線を実現する技術が求められている.

 Low-κ材料には大別して有機系と無機系が挙げられ,成膜手法にも材料を塗布するスピンオンとプラズマCVDが使われる.最近の動向としては,膜厚をきちんと調整しやすいプラズマCVDで有機系シランガスを利用した堆積でもって,さらにポーラスを導入するカーボンドープシリコン酸化膜(p-SiOCH)が主流になっている.また,スピンオンではシリコンを含有しない純有機系のLow-κ膜も少なからず使用されるケースがある.

 次項より,p-SiCOH膜のプラズマCVD堆積の内容に,有機系Low-κ膜とp-SiCOH膜のプラズマエッチングについて述べることとする.

5-3.層間絶縁膜の成膜

プラズマCVD法による一般的な絶縁膜堆積

 はじめに,Low-κ膜堆積する際に使用されるプラズマCVD法による一般的な絶縁膜堆積について述べることとする.プラズマCVDを利用すると,比較的低温である400℃以下で膜の堆積が可能である.プラズマを利用するメリットは,電子衝突の力をつかって熱反応に依らない原料の解離を実現して,活性な化学種であるラジカルならびにイオンを生成し,堆積反応に利用できることにある.まず,気相でプラズマを生じ,このプラズマで生成した粒子が表面に輸送される.そして,表面で反応することによって膜堆積を生じるというように反応は分けて考えるとわかり易い.

 原料ガスを導入し,電気的な放電によってプラズマが生成すると,プラズマ気相内で原料ガスへの電子衝突を生じて,イオン化,解離,励起,電子付着などの過程が起こる.これらによって生成した活性種については二次反応も考えられ,さらに解離したり,合成反応を起こしたり,再結合などを生じる.複雑な反応を生じていることになる.

 この気相反応で重要なパラメータは,プラズマの電子密度とそのエネルギー分布である.一般的にプロセスプラズマでは成膜圧力にも依存するが概ね単位立方cmあたり10の10~11乗の電子が生成されており,そのエネルギー分布は概ねマックスウェル分布と考えてもよい.そのため,よく電子温度と定義されて最頻のエネルギーは数eV程度などと表現される.実際,ガスのイオン化や解離は十数eVのエネルギーが必要であるから,これら生成反応にかかわる電子はエネルギー分布にして裾に位置するほんの僅かな高エネルギー部分だけが寄与して,その衝突によってイオン化や解離を生じている.例えば一種類のイオン化を生じる反応速度を知るためには,統計的にその反応の(電子エネルギー依存をもつ)断面積のデータと電子エネルギー分布の積を全エネルギー範囲にわたって積分して求めたものと考えられる.そのため,分布の裾を議論するわけであるが,電子温度,ひいては電子エネルギー分布が活性種の生成に密接に関与するため重要である.ただし,チャンバー内での全空間に均一なプラズマが生成しにくいことや,プラズマの生成部と二次反応ほかを起こす場所は空間内で分布していることから,完全に把握するのは容易ではない.しかしながら,プラズマで生じた活性種は表面に輸送され表面反応を生じることとなり,表面輸送の機構には電荷中性の粒子であれば密度勾配による拡散が主であり,イオンであれば電界によるドリフトの寄与が大きくなる.

 次に表面に飛来した粒子により表面反応をみていくと,イオンでは表面とプラズマの間に存在するイオンシースの存在により電界加速されてエネルギーをもって入射する.そのため,反応は ほぼ1の確率をもって起きる一方,ラジカルであれば表面に存在する未結合手の存在などに作用されるが,一部が反射や再結合といった堆積に関与しない反応を生じるため,反応に寄与する確率は少ない.この確率を表面反応確率と呼び,1より遙かに少ない値となることも多い.しかしながら,プラズマプロセスでは,イオン照射などによって最表面に生じる未結合手も少なくないので,反応に主に寄与するラジカルの吸着の頻度は高まっており,比較的高い値を示すことが多い.というように,表面反応を理解して堆積を制御する上で表面反応確率を知ることは重要である.

シリコン酸化膜のプラズマCVD堆積

 シリコン酸化膜のプラズマCVD堆積についてLow-κに先立ち説明する.一般にシリコン酸化膜は,SiH4/N2O/Arの混合ガス系が使われることが多い.ここでN2Oは酸化剤であり,O原子を豊富に供給するために比較的高品質な膜を作製できるので使われる.他にO2やCO2などの酸化剤が使われることもある.O2→2O(ΔH=4.13eV)に対して,N2O→N2+O(ΔH=1.73eV)と低いことが特徴となっている.[1] Arは不活性ガスであり,プラズマの密度を上げたり,イオン化を促進したりする役割を担っているが,混合しないことや別のガスの混合もおこなう.例えば,チャンバー数100Paの圧力で400℃程度の基板温度でプラズマを生成することで酸化膜が数100nm/分の堆積速度をもって成膜される.

 シランを使った場合,つまりSiH4の電子衝突解離によってSiH3やSiH2といった堆積種(プレカーサー)が生じ,酸化剤からはO原子,O2+イオンを生じる.このSiH4/O2系は小宮山らやMeeksらによって反応モデルが構築されている.[2] この反応系での要点は,SiH3とSiH2の表面吸着反応係数は0.35程度と高いために,トレンチなどの間口部分ではその間口上部で既に付着しやすくなり,間口を塞ぐようにハングができて,内部に空隙ができるような堆積が起きる.(図5-3-1)この現象は,単純化して堆積種(プレカーサ)が弾道的に飛来して堆積すると考えると,間口の存在によってプレカーサのフラックスはシャドーイングの効果で底部の角で減少する結果,トレンチなどの形状で間口のコーナー部にハングを生じやすいことが理解されうる.このようなハングを避けるためには,吸着反応係数が低いプレカーサを使ったプロセスの方がよく,トレンチの間口から内部に入っても反射を繰り返すのでフラックスが極端に減少する部位が少なくなる.このようなプレカーサに変えてプロセスを設計するには原料ガスの分子設計まで遡るのは手である.

 表面に飛来したSiH3ラジカルは表面に比較的高い表面吸着係数をもち,表面拡散の程度は低く,そのまま付着していると考えられる.その状態にあって酸化を受ければ,2SiH3+O→(SiH3)2Oないし→SiH3OH+H2といった反応を経て,シラノール(Si-OH)の酸化による脱水反応からSi-O-Si結合を形成してシリコン酸化膜となる.

図2.プラズマCVD反応の模式図;シランを使用した場合(左)とTEOSを使用した場合(右)

 一方,有機シランソースであるテトラエトキシシラン(TEOS)を使った場合には,高希釈にTEOS/O2とし,基板温度200~300℃で30~60Pa程度で数100nm/分の堆積速度をもってシリコン酸化膜が堆積される.TEOSではその化学構造からシランとは別のプレカーサーが生成され,一般にSi(OEt)n(OH)4-nやSi(OEt)O4-nが考えられている.これらの表面付着係数は低く0.045程度と一桁近く低いものである.このことから,TEOSを使った堆積ではトレンチの内部にまでプレカーサーが行き渡り,埋め込み性が高くなる.TEOSへの電子衝突によって水素が解離されると,エトキシ部からエチレンを脱離して,(EtO)3SiOEt+e→(EtO)3SiOH+H2C=CH2といった反応を生じて,前述のエトキシから水酸基に置換されたプレカーサーを生じる.表面に付着した後には,主に酸素原子による酸化の進行によって炭素と酸素がCO2とH2Oを生成するか,アルデヒド(-COH)の脱離によって有機成分が除去されシリコン酸化膜が堆積すると考えられる.このため,酸化が不十分であればCとHが残った膜になってしまう.この条件においては,プレカーサーは表面をよく被覆していると考えてよいので,むしろ酸化反応が律速する.そのためTEOSに対して酸化剤で高希釈にした条件としてCとHの十分な酸化反応がなされるようにする条件で堆積することが多い.また酸化反応におけるO2+イオンの寄与は少なくなく,イオンの照射が表面垂直方向であることから,膜の堆積速度が垂直方向で高くみられるようになり,段差被覆性を高くすることができる.TEOSを使った堆積の見かけの活性化エネルギーは-0.1~0.2eVと知られており,低温の方がむしろ堆積速度が高いのも特徴である.このことは,プレカーサーの脱離が増加すること,もしくは酸素原子の表面再結合が増加することが理由として考えられている.このようにイオンによる酸化反応の寄与が大きいことから,さらに炭素と水素の酸化反応と埋め込み性を上げるためにイオンフラックスを上げるようにプラズマ密度を高くした高密度プラズマ堆積とすることも多い.

Low-κの成膜

 次にLow-κの成膜を説明する.実際Low-κ膜は表面平坦化の後に,Cu面のキャップ膜として薄く堆積されるSiCやSiCNに引き続いて成膜されることになる.そのため,段差被覆性は全く要求されない.そして少し乱暴な説明をすれば,有機シランを原料にして有機成分を残した状態で成膜されればSiOCH組成になる.この組成では膜密度が下がることから誘電率が下がり易い一方,Si-O,Si-C,CH3,C=C,C-C,C=O,COH,SiOH,SiHなどの様々な種類の化学結合が形成されるが,このうちCOHやSiOHなどの存在は大気に暴露された後に膜の吸湿の原因となり,その影響は著しい誘電率の上昇の元凶となり,実際のところ,膜構造内でのクロスリンクや末端の官能基の制御が必要となり,なるべく分極している官能基の導入を避けるといった堆積技術を必要とする.

 SiO2ではSiを中心にsp3結合でOを正4面体の頂点に置く構造をとっている.この一つの酸素を官能基に置き換えた構造はシロキサンと呼ばれ,R-SiO3/2となる.この構造がラダー状に接続された場合とクロスリンクした場合があるが,このシロキサン骨格に隙間(ポーラス状態)をもって堆積することがポイントである.そのため,原料ガスの分子構造から制御して,成膜される膜質を調整すると効果的であった.この目的で,現在ではLow-κ膜堆積に
TMSCTS(Tetraoxymethylcyclotetrasiloxane),
OMCTS(Octamethylcyclotetrasiloxane),
DMCPS(Decamethylcyclopentasiloxane),
DEMS(Porous diethoxymethylsilane)
などが使われる.これらの材料は環状のSi-O-Si構造を含んでいるためSi-O-Si構造をもった膜を作りやすいと考えられている.少しずれるが酸素含有量を下げた膜の作製には,Siにメチル基を配した4MS(テトラメチルシラン),3MS(トリメチルシラン),2MS(ジメチルシラン)といった原料が使われる.

 誘電率を下げる目的では単位堆積中の原子数密度を下げるのが効果的である.そのため,ポーラス導入といった形で空孔を設ける.単に空孔を導入しては膜の機械強度が著しく低下してしまうために,骨格とよばれる構造に強度をもたせなくてはならない.このような成膜を実現するために,前述にあるように実際はSi-Oを骨格構造としてつくる原料と,キュアによって脱離する有機物をポアジェン(空孔源)として添加しておき,これを働かせて成膜することでポーラス構造をもったSiOCH膜を作製する.ポアジェンを抜きポーラスを構成するためにはキュアと呼ばれる工程があり,熱のみ,電子線,紫外線などを作用させて行われている.原理は, Si-OHの脱水縮合反応によってSi-O-Si結合を形成することや,CH3着から脱水素反応によりSi-CH2-Siを形成して,Si-CH2-SiはCH4脱離によっても生じる.特に,紫外線照射ではSi-OHなどが骨格構造となるSi-O-Siに脱水縮合を適度に導入するので,紫外線キュアが使われることが多くなってきた.注意すべきことは過度に処理すればLow-κとしての性質を失ってしまうことである.このようにLow-κとして電気的にも機械的にも利用しやすい材料には,古くから知られるゼオライト構造などの空孔がメゾスコピックに周囲と相互結合される強固な構造をもった方が適している.実際ゼオライト構造などは形成されないが,ポア構造をもった分子を原料として,相互結合を十分もって堆積させる目的でDVS-BCB(ジビニルシロキサン-ベンゾシクロブタン)やシクロペンテン酸化物(CPO)を使用する提案がなされている.

 Low-κとは別に誘電率は高めとなるが,H2OのパシベーションやCuの拡散防止の目的の膜を堆積することも必要である.膜の密度は高くして,拡散を増速するイオン化を避けられることが望ましい.このためには膜中の酸素を下げるのが効果的であったりする.古くはシリコン窒化膜(SiN),最近ではSiCHやSiCNといった組成の膜を堆積する.窒化膜の場合,SiH4+NH3+N2の混合ガスでプラズマを生成して基板温度400℃程度で成膜できる.制御されなければならないパラメータは,原料の解離であり圧力に応じて放電のパワーや原料組成比を最適化する.その結果,膜中の水素濃度が主に変わることで光学や機械応力に係わる特性が大きく変化する.意図的に成膜する基板側にバイアスを印加してイオン照射を増すことによって機械応力特性が変化することが知られている.

 これらCVDでは圧力によっては気相中の重合反応が進行しパウダーを形成することがあり,良質な膜を得るには気相では活性種のみを生成し,表面で膜形成を行うようにすることが肝要である.

5-4.層間絶縁膜のエッチング

有機Low-κのエッチング [3-5]

 有機Low-κのエッチングはN2/H2の混合ガスやNH3によってエッチングされる.プラズマによって生成するH3+やNHx+,N2+といったイオン種が約200eV程度に加速されることで十分なエッチングイールドが得られる.しかしながら,同時に生成する水素原子によって,自発的なエッチングを生じるため窒素原子の存在による側壁の窒化作用によるエッチング抑制が行われている.このように底面でのエッチング促進と側壁でのエッチング抑制の両立については未知な部分が多かった.

 プラズマプロセス中の薄膜をその場で観察した結果からは,N2/H2混合プラズマではNHx+イオンと水素原子が支配的な入射種であり,N2プラズマではN2+イオンが支配的である.これらイオンによるエッチングイールドはそれぞれ1.6と1.0といった違いが見られた.エッチング脱離種は,sp結合のC≡NをもったC2N2やCN,HCNが支配的あることが分かっていて,いずれをみてもその原子数比(N/C)は1となっている.この結果は表面の反応を単純化すると,化学量論にしてa CH+b NHx → c CN↑+d H↑といった反応を考えると都合がよく,一方でN2の場合にはa CH+b N2→c CN↑+dH↑+Nと考えることができる.(a,b,c,dは条件を満たす任意の数)この最終項にみられる過剰なNが表面に残存して表面窒化をもたらし,エッチングを抑制すると考えられ,N/Cが1である場合にはエッチングイールドが増速されて,イールドにして1.6程度が得られる.

 このとき表面で見出されるN-HやC-Nの化学結合の形成過程では,H2プラズマで生成する水素原子(H*)が有機物に作用して,多くのダングリングボンドを生成する.特に水素プラズマでは紫外や真空紫外域に強い発光線をも生じるため,この光照射によってダングリングボンドの生成もみられる.アルキル様のsp3-結合をもったC-C結合はH*によりダングリングボンドを生じて反応の活性点となりやすい.これは水素化された環状炭化水素にもいえることであるが,芳香族系のsp2-結合をもったC=C結合は既に水素が引き抜かれた(不飽和)であるので,反応性が高いとも言えない.さらに窒素原子(N*)では(電子状態が2Dものでは特に)アルキル様でもアルケン様との間でも比較的高い反応性をもっている.励起状態のN2(A 3Σ)も高い反応性をもっていて,反応性だけみれば窒素の化学種はおしなべて高いのであるが,気相中では水素原子の密度が高く,N2/H2混合ガスのプラズマでは一般的な条件でH*に比べN*は1/10程度となる.

 イオン照射によって有機物の水素引き抜きが促進され,C=CやC=N,C≡Nといった不飽和の炭化層が表面を覆うようになるとエッチングの抑制効果が働く.ただし安定化してしまい反応生成物となりうるC2N2やHCNは,そのままでは安定であるが,イオン衝撃があれば容易に脱離する.これら反応をまとめてみれば,アルカン上にカーボンダングリングボンドが光解離や水素原子による引き抜きによって生成し

  • CH2-CH2- + hν(VUV, UV)→-CH2-*CH- + H,
  • CH2-CH2- +H*→-CH2-*CH- + H2↑,

窒素原子との反応や,さらなる水素の引き抜きを生じる

  • CH2-*CH-+N*→-CN* + ...,
  • CH2=CH2- + NH2→-CNH2+...,
    =CH=CH=+N2* →-CN* + ...,
    =C=C=, -C=N*, -C≡N+H2↑,

窒化した表面にイオン照射が施されると脱離するから

  • C≡N+H*→HCN↑
  • C=N* ++NH2→HCN↑+-CNH
  • C≡N+-C≡N→C2N2↑
  • C=N*+-CNH→C2N2↑+H2↑,

以上見てきたように表面変性がなされることで,イオンの照射されない面ではエッチングの抑制が働き,イオン照射面では安定な窒素を含む脱離物を形成することでエッチングが増進される.このような表面反応機構をもって,H2/N2系の有機Low-κエッチングはなされていると考えられる.

 すなわち,気相中の原子密度が反応を支配しているため,それら密度を知れればエッチングを制御できる.そこでH*とN*のプラズマ中の密度を真空紫外吸収分光(VUVAS)法によって調べることで,エッチング特性を制御可能となる.VHFプラズマによる平行平板のエッチャーでは水素原子と窒素原子の密度は8.2×1010cm-3であり,窒素を添加することにより水素原子密度は増加して,結果25%添加したときが最大となり7倍程度5.2×1011cm-3となる.底面のエッチレートは上述の通り水素原子密度に依存した形となってみられているが,微細孔を作成する工程はその側壁形状が基板温度と窒素比率により異なる.低温であるほど,窒化された表面での脱離物形成は抑制されるため,側壁に堆積する傾向が強まり先細りのテーパー形状が見られる.一方,水素原子密度の比率を高くしていくと,水素原子による有機物や側壁の窒化の除去反応が優勢となり,側壁がマスク部より拡がるボーイング形状となる.この傾向は温度の上昇により遷移していく.と同時に別の観点からみると,ウェハ温度はエッチングの開始で急に上昇して,その後飽和傾向にあるが,徐々に温度上昇していく.これは,プラズマからイオンの衝撃や光の照射など,ウェハ表面への熱流入が相当あるからであり,基板冷却をしていても平衡に達する温度は高めになる.微細加工を行う上で,マスクパターンを膜厚方向に垂直に転写することが要求されるので,時々刻々と変化するウェハ温度に追従する形で,水素原子と窒素原子の最適な密度バランスは変化することを示唆している.この知見を元に積極的に基板温度と気相ラジカル密度をモニタして,最適な条件となるように水素と窒素のガス分圧,プラズマ生成のパワーなどを自律的に制御したプラズマエッチャーが提案された.ウェハ裏面はHeを充填して十分冷やした静電チャック上にウェハを置いていてもプラズマからの温度流入が上かれば温度上昇がみられる.

 すなわち,エッチング開始初期のウェハ温度が低い間は,水素原子による反応も低く,エッチング速度を高くすることで自発的なエッチングを見かけ上防ぐことが可能であるが,エッチング時間が経過してウェハ温度が上がって来た場合には,より窒素原子による側壁のエッチング抑制効果を高めないと水素原子による効果が高まり,よりボーイングとなってしまう.実際にウェハ温度を変化させた場合の表面の窒化をみても高温でプロセスした場合に窒化が抑えられ,C-N,C=Nに由来するピークが弱くなっている.側壁に相当するイオン照射がイールドの閾値エネルギー以下でなされる表面では,低温で窒素原子比率が高いほど,エッチング速度が低下することを確認している.

図3.エッチング開始からの温度変化と,エッチ形状への基板温度の影響[6]

ポーラスLow-κのエッチング

 SiOCH などのLow-κ 材料であるが,プラズマプロセスによって材料のもつ誘電率が上がり問題となることがあるため,その機構解明や解決方法の構築は課題となっている.これまでにSiOCH 膜では含有メチル基の消失がその原因と考えられている.その際同時に,Si-O 構造の変化が生じるが,この部分は未知なことが多かった.そのため,ここではSi-O構造への影響について,特にこの点に着目して説明する.

 プラズマから照射されるイオン・ラジカル・フォトンの影響を個別に調べるために,(1) 直接,(2) 遮光板間隙あり,(3) 光透過板(MaF2)間隙あり,(4) 密着とする評価パレット(PAPE)をもちいた [7].この方法によって各構造下の表面は(1)イオン+ラジカル+光,(2) ラジカルのみ,(3) 光+ラジカル,(4) 光のみが影響していると考えられる.

 実験装置は通常の平行平板電極を有するプラズマエッチング装置である.プラズマの生成条件は上部電極に100 MHz,450W の電力を印加しており,下部電極は浮遊電位,20℃としている.流量100 sccm として酸素ガスを導入して圧力は2.5 Pa とした.

 本装置には,どちらもその場計測可能な赤外反射吸収分光装置(IR-RAS)と偏光解析装置が取り付けた.IR-RAS での検出感度を上げるため,Low-κ の下には厚さ100 nmほどのタングステンを成膜して,Low-κとしてメチル基とシロキサン骨格を有するポーラスSiOCH はスピン塗布により75 もしくは150nm で成膜してある.

 測定された反射スペクトルは図4左に示すように縦光学(LO)モードが検出されるため,一般にみる横光学(TO)モードを検出する垂直透過スペクトルと一致していない.そこで,膜積層されたと仮定してフレネルの式に基づき解析することで,図4右に示すような垂直透過スペクトルの形を再現することができる.SiOCH 膜の誘電関数は,アモルファスSiO2 のモデルを拡張して,フィッティングによりパラメータを抽出している.SiOCH 膜の赤外スペクトルには,2900 cm-1 付近にCH3 基,1272 cm-1 にSi-CH3,1100 cm-1 付近にSi-O結合に由来するピークが主に観察される.今回,Si-O構造への影響を検討する上で,このSi-Oピークについてlinear(赤外ピーク中心波数:~1023cm-1③),network(~1065 cm-1②),cage(~1140 cm-1①)に大まかに分類されるという仮定に従った.これらの変化は,4 配位のSi に対して結合するメチル基が2 となるlinear から1 のcage,0 のnetwork にも対応すると考えてよい.

図4.SiOCH膜のIR-RASスペクトル

 酸素プラズマの作用についてPAPE 評価した場合,図5にラジカル照射のみでのスペクトル変化と,SiCH3の吸収強度の時間変化を示している.(a) の光のみ以外においては,ほとんど変化が見られず,(b) のラジカルのみで変化が見られることから,ラジカルが支配的な要因であり,linear 構造が減少する一方,cage やnetwork の比率が増加するように見られており,図5に示すメチル基の減少との対応を見ても,この変化は必ずしも一致しない.このことから,メチル基の減少は(d) のイオン+ラジカル+フォトンの方がむしろ進んでいるように見られ,メチル基減少が必ずしもSi-O 構造を変化させるとは言えない.

図5.SiOCH膜のメチル基の消失;赤外スペクトル(左)と処理時間依存

 次に,試料を大気中に約120 分出して,再び真空に引いた後に,IR-RAS 測定をおこなった結果,プラズマプロセス直後において見られていたlinear 構造が減少し,cage やnetwork に置換わることが見出された.この原因を探るために大気中の水分に着目し,図6に示すSi-OH について調べた結果,プラズマプロセス中からSi-OH 量には増加が見られ,大気暴露によってさらに増加を生じていた.このSi-OH 量の変化についてはメチル基よりもむしろlinear 構造の減少と相関している.特に,大気暴露後は(a) の光のみを除いて,ほぼ同じSi-OH 量,Si-O 構造に至ってしまう点が興味深い.以上の通り,プラズマプロセス中での変化を見る限り,メチル基消失がSi-O 構造変化の直接原因とはなっていない.この系では酸素ラジカルなどがSi-OH を形成することで,Si-OH を経由した脱水縮合反応による≡Si-O-Si≡形成を経てlinear 構造からnetwork構造への変化を生じることが考えられる.強調すべき点は,プラズマプロセスで生じる変化と,その後の大気暴露で生じる変化が大きく異なる点である.すなわち,Low-κ 膜のSi-O の構造変化には酸素ラジカルにより生成するSi-OH 基,さらに大気暴露等による主に吸湿が作用していることである.このように,プラズマプロセス中と大気暴露との二つの側面からダメージレスプロセスを考えることが望ましい.

図6.SiOCH膜の水酸基の増加;赤外スペクトル(左)と処理時間依存

5-5.デバイス特性への影響

 ナノエレクトロニクスの実現を考えると,そのデバイスの信頼性を確保してデバイス動作を保証することが重要である.ここでは例として配線の信頼性における界面の密着性に関する重要性について議論しておく.

 配線の時には電流を流さずともアルミ配線内にボイドが成長して抵抗増加・断線に至るストレスマイグレーションとストレス誘起ボイドという現象があった.これは金属配線に加わっている残留応力が原因となって原子輸送が起きてボイドが成長する.配線に加わる応力には熱応力があり,配線と絶縁膜の熱膨張率係数差が駆動力となる.実際にテンソル成分の応力であるがボイド成長に至る降伏応力は機械材料の塑性変形の知識で考えることができる.ボイド生成は,低温で引っ張り応力が大きい場合には拡散係数が低く,温度が高いほど拡散が大きくなるため,温度に対して極大をもつ.一般に低応力では拡散クリープ現象が支配的であり,せん断応力が小さい時にはクリープはべき乗則で示される.高温域では光子拡散が支配的であり,低温域では転位芯拡散が支配的となっている.そのため,Al配線に対してSiやCuを添加して析出合金を粒界に形成させ,この析出合金が転位をピニングすることで塑性変形を抑止すると考えられている.また配線の周囲はWやTiNで覆うことで配線ボイドの形成不良に低減効果があった.

 Cuのダマシン配線工程では,CMP終了後にCu表面が露出するため,その周りに丈夫な金属膜を配することが困難である.そのため,シリサイド化や選択CVDを使ってメタルキャップを作製することがある.言うまでもなく,この方法はビア故障には頑強ではない.

 上層に太い配線をもつビア内でボイドが発生しやすく,下層に太い配線ではビア直下の下層配線部にボイドが発生する.これはビア内のバリア被覆によって密着性を高くしてCuを埋め込むとボイドが形成されにくくなる.元々金属内に過剰に空孔が存在するため,拡散によって集合してボイドが形成するものと考えることが多い,つまりボイド核発生はボイド形成によるストレス緩和と,面積当たりの表面自由エネルギーの増加を考えて,系の自由エネルギーが消失するまで駆動力をもつことになる.このことは,界面の濡れ性によって,これらボイド形成に耐性をもつといえる.結論だけ述べれば,界面の濡れ性に優れる界面は界面拡散を遅くするため,ボイド発生しにくくなる.

 次に電子を配線に流した場合もこの電子流による原子拡散フラックスを生じ,アノード側からのバックストレスフローを生じる.そのため,配線の周囲に安定した被覆がある方が,このストレスを受け止めるため,エレクトロマイグレーションに耐性をもつ.前述の通り,Cu配線ではCMP後に配線が露出しているため,安定した被膜を設けるために,まずCu表面の清浄化と制御された自己整合バリア(SAB)膜を形成することが望ましい.

 また,Cu表面清浄化の重要性には,配線を使用している間には電圧印加ラインに隣接が回路接地ラインにあれば,電界ストレスが印加されていることになる.このストレスによって絶縁膜は経時的に劣化し,最終的には絶縁破壊する.これはTDDBと呼ばれる.この原因の一つに,絶縁膜中に拡散するCuイオンが考えられている.そのため,イオン化する原因となるCMPの添加剤などのコンタミやCu残留を清浄化するクリーニングプロセスが重要である.

5-6.まとめ

 次世代ナノエレクトロニクスにおけるプラズマプロセスでは,イオン・ラジカル・フォトンによる複雑な表面反応についてますます詳細な表界面の解析が必要となってきている.材料の凹凸形成の要因,微視的にみた表面変質層,優先的に脱離する分子基の存在などは,例えば表面状態に依存した変質とエッチングイールドの変化といった結果をもたらす.SiOCH膜の構造変化についても,ラジカルとフォトンの相乗効果が存在し,ラジカルによるメチル基除去とフォトンによるダングリングボンド形成が膜のSi-O-Si ネットワーク結合形成を促進する.これらのことを考えれば,プラズマプロセスの機構解明を原理・原則にまで遡り突き詰めていかなければ,所望のプロセスを設計することは難しい.このように,表面状態に依存した表面反応確率の計測や,それら知識データベースに基づいた時間発展シミュレーションなどを駆使して,ますます課題となりつつある形状揺らぎやプロセス欠陥の制御に結び付けられることを望んでいる.

参考文献

  • M. A. Lieberman, “プラズマ/プロセスの原理”,第二版(丸善, 2010).
  • [1] S. Cosby et al., ECS Trans 25(8), 429 (2009).
  • [2] E. Meeks et al., J. Vac. Sci. Technol. A 16, 544 (1998).
  • [3] H. Nagai et al., J. Appl. Phys. 91, 2615 (2002).
  • [4] H. Nagai et al., J. Appl. Phys. 94, 1362 (2003).
  • [5] K. Ishikawa et al., J. Appl. Phys. 99, 083305 (2006).
  • [6] H. Yamamoto et al., Jpn. J. Appl. Phys. 51, 016202 (2011).
  • [7] S. Uchida et al., J. Appl. Phys. 103, 073303 (2008).

(c) Kenji Ishikawa


Last-modified: 2020-11-20 (金) 23:11:02