Book14

Seminar

High-Aspect-Ratioエッチングのナノスケール制御の技術進歩

Development of control at nanometer scale in etching technology for fabrication of high-aspect ratio features

1. はじめに

シリコン集積回路は,1970年代より集積回路上の素子数を約2年毎に2倍に増やし(ムーア則),素子のデバイス構造である相補型金属-絶縁膜-半導体(CMOS)電界効果トランジスタの比例縮小(デナード)則に従う微細化が原動力となって,集積回路の消費電力を上げることなく,基板上の同じ面積につくられる素子数を増加できた.回路マスクパターンがフォトリソグラフィーの進展によって微細化され,2000年代後半までにArFエキシマレーザーと液浸露光,数年前から極紫外線(EUV)露光も使われるようになった.このマスクパターンを元に,実際のデバイス構造に加工しているのは,プラズマエッチングの技術である.容易なウェットプロセスでは下地膜を等方的にエッチング除去するため,そのパターン寸法は広がってしまう.プラズマエッチングはマスクから垂直下方に下地材料に転写するため,微細なマスクパターンの寸法を忠実に維持される.さらに,フォトリソグラフィーのマスクパターンよりも小さい構造をつくるために,サイドウォール転写(SIT)や多重パターニングと言われる技術によって,元のマスクパターンにプラズマ化学気相成長(CVD)で薄く膜をつけてから,底面のみをプラズマエッチングで異方的に除去する方法が 2000年代後半から使われる [1].この方法によって,元のパターン寸法の半分をもつパターンマスクがつくられ,その繰り返しによって1/4や1/8の寸法をもつ構造にまで微細化できる.2次元平面上での微細化は,プラズマ技術の活用なくして成り立たず,トランジスタの微細化も実現されてこなかった [1].

さらに,2007年にNOT-AND(NAND)型フラッシュメモリでビットスケーラブル(BiCS)技術が発明され[2],トランジスタは平面に並べるだけなく積層されるようになった.3D-NANDフラッシュとも呼ばれ,3次元化された素子の積層は,高アスペクト比(High-aspect-ratio; HAR)をもつ孔や溝の構造をプラズマCVDとプラズマエッチングによって実現されている.ここで,鍵となる技術は,HAR構造をプラズマエッチングによって実現することである.この流れから今後,論理の集積回路(ロジック)でも,素子の縦積みされる時代が到来すると思われる.他にも,イメージセンサーやデバイス実装の製造現場でもHARエッチングは重要性を増しており,技術レベルはナノスケールから原子・分子レベルに達している(図1).

本稿では,最近のHAR構造のプラズマエッチングでナノメータースケールのレベルで制御する技術進歩について紹介し,プラズマの気相反応,イオンシース,イオンとラジカルの表面反応について基礎的な理解が重要であることを説明したい.

図1 高アスペクト比エッチングのトレンド.2次元縮小から3次元集積に発展. [1]より転載

2. プラズマプロセス中の電子ダイナミクスと化学反応

プラズマプロセスで使われるプラズマ生成は電子衝突由来のイオンやラジカルの生成にとって重要である.反応性ガスをプラズマ状態に維持し続けるために,電力を印加してプラズマ内の電子を励起し,電子の加熱が続けられる.この電力印加の違いによって,主に容量性結合型プラズマ(CCP)と誘導性結合型プラズマ(ICP),他にも電子サイクロトロン共鳴プラズマ(ECR)や表面波励起プラズマ(SWP)など様々な手法がある [3].プラズマ中では,高速に運動する電子がガス原子や分子と衝突することによって,イオンやラジカルを生成するプラズマ気相中での反応が進行する.プラズマと容器壁の間にはイオンシースが形成され,プラズマ中の電子の生成と損失を決めている.

プラズマ気相反応は,電子の速度分布に依存する.プラズマ中の電子やイオンの粒子の速度分布は運動論の立場に従えば,ボルツマン方程式によって与えられる.すなわち,電子やイオンの粒子の位置rと速度vとし,粒子の速度分布関数f(r,t)とすれば, ∂f/∂t+v ∂f/∂r+F/m ∂f/∂v=├ ∂f/∂t┤|_coll の方程式が成立する.電荷qをもつ質量mの粒子は,電場Eと磁場B中で受ける電磁気力F=q(E×B)を受ける.この粒子の速度分布fがrとvは時間変化と空間変化し,衝突によって粒子が消滅する衝突損失(coll)を示す項(衝突によりvをもつ粒子の損失)で表される.熱力学的にはエントロピー増大の方向に進んでいるが,プラズマ生成が持続している状態では,熱力学的平衡には達していない非平衡状態であり,(局所)平衡状態に向かう緩和過程としてみられる.すなわち,電力印加によってプラズマが生成し,励起された粒子が始点となり,その衝突によって化学的な反応が進行する.この系は,粒子の生成とその粒子間の衝突を理解しなければならない.

更に,電子のもつ速度分布が,ガス粒子の速度分布と大きく異なる点は特徴である,ガスが室温から1,000Kレベルであるのに対し,電子が数eVの温度に相当する速度分布をもつため,電子温度は10,000K以上と桁違いに高い.この電子に対してガスが低温であるという非平衡性から,このようなプラズマは「低温プラズマ」と呼ばれる.電磁気力を効果的に受けて電子が加速されることで,その電子の物理衝突によってガスの化学反応を生じる反応場が作り出される.

ガスの電子衝突反応には,電離,解離,励起,付着の反応が,電子の衝突速度(エネルギー)に依存的に生じて(図2),各反応は確率的に統計分布をもつ.さらに,電子衝突反応によって生成した粒子は,自発的に元の状態に戻るか,別の粒子に衝突することで二次反応を生ずる.繰り返すが,高いエネルギー状態から緩和する過程で反応が進み,反応場は低温でも化学反応が進行する「非平衡反応場」を構成し,熱的な化学反応と大きく異なっている.

分子の電子衝突反応に関係する励起過程は量子化学計算によって,ある程度予測可能である.実際のプラズマプロセス中の全粒子の反応は確率的に生じ,実際に関与する粒子数が多すぎるため,完全に計算再現することはできない.ただし,粒子数の統計分布と,その時空間の情報を近似や計測によって設定できれば,プラズマ気相反応も予測可能である.

図2 分子の電子衝突反応に関するポテンシャル (T. Hayashi)

3. 表面入射するイオンエネルギーのシースによる制御

プラズマと接する表面との間にはイオンシースなどと呼ばれる領域が形成される.プラズマ中で粒子の熱運動速度vth(√kT⁄(π m);kはボルツマン定数,Tは温度(絶対温度単位))は,イオンの質量は電子の質量よりも1000倍以上重いため,熱平衡状態で電子は30 倍速く,低温プラズマではさらに100倍の差となるので,速さに数千倍から数万倍の違いがある.このことから,プラズマに接する表面は電子の衝突頻度が高くなり,電子が表面近傍で消失した結果は,ほとんど停止していると見なせるイオンが残ると容易に想像出来る.この結果,シースは正電荷が優勢となった電気的な絶縁領域であり,表面とプラズマの間には電場が発生する.つまり,表面に対してプラズマは正に帯電し,電子とイオンの消失が自然に最低限に留められる.この時,粒子数が多いほどシースの厚さは薄くなる.シース厚さは,ガス圧力やプラズマ密度に逆比例する.

プラズマに接した直流電気的に絶縁される表面に高周波を印加しても同様で,絶縁膜であっても高周波を使うことで,表面に向かって垂直方向に発生する負の電場によって,正イオンは表面方向に向かって加速し,高いエネルギーをもつイオンが照射される.シース両端に発生する電場は自己バイアス電圧とも呼ぶ.

イオンのエネルギーは,シース通過中の電場加速によって決定される.質量をもつ正イオンが有限の厚さのシースを通過するためには有限の時間が掛かる(図3)[4].これをシース通過時間と呼び,高周波の周期によって,表面に到達するまでにシース通過する正イオンが得られるエネルギーは異なる.シース厚さが十分小さければ,イオンがシースを通過する時間が短いので(低周波バイアス条件),イオンエネルギー分布は二項分布する(図3中).一方,シース厚さが十分厚ければ,イオンがシースを通過する時間が長いので(高周波バイアス条件),イオンエネルギーは時間平均電場によって加速されたイオンエネルギー分布となる(図3右).そのため,印加する高周波の電圧波形によってイオンのもつエネルギー分布関数が変えられる.低周波条件とすることで,二項分布する低いエネルギーをもつイオンは無視できるので,高いエネルギーをもつイオンが得られる.実際は周波数の異なる複数の高周波電源を重畳することもあるため,もっと複雑である.

より本質的にはイオンは等電位面を横切る軌跡を辿るため,ウェハの中心ではイオンの角度分布は表面垂直方向を向いているが,端部などでシースの電場が一様でないため,表面垂直方向から傾いてしまう.シースを介して表面にはイオンが垂直に加速されて入射する.表面にパターンマスクとなるフォトレジストに開口された箇所にイオンが照射される.反応性イオンが照射された場合には,個体内で連鎖衝突を生じ,反跳粒子の生成でスパッタリング現象が見られるが,イオン誘起のエッチング反応で固体材料が著しく揮発除去されるため,反応性イオンエッチングと呼ばれる.この作用によってパターンが転写され,被加工膜にパターン構造が形成される.イオンがパターン開口のパターン寸法(最小パターン値をCritical dimension(CD)とも呼ぶ.)が変化させずに加工側面を垂直に異方的にエッチングするように制御する.

図3 イオンシースの時間変化とイオンの通過時間

4. HAR孔内のイオンとラジカルの輸送

プラズマで生成する化学反応性の高い化学種(ここでは,化学の定義とは別にラジカルと呼ぶ)が,プラズマと接する表面には入射し,表面への吸着が反応を進行させる不均一反応を生じる.表面反応だけで揮発物を形成する場合には化学エッチングと呼ばれ,ラジカルが付着した表面をエッチングから保護(パシベーション)することもある.表面付着するラジカルがイオン誘起エッチング反応を促進する場合には化学促進エッチングとも呼ばれる.表面には照射の履歴によって,パシベーションとエッチングの促進効果を伴うため,ラジカル濃度や処理時間に対して非線形性を示し,極めて複雑な反応系である.なるべく簡素に制御できるように,プラズマ促進反応を得られる反応系を構築することが肝要である.その元で,気相中でのイオンとラジカルの生成,イオンシースを介したラジカルとイオンのエネルギーを制御したフラックスの制御による表面反応の制御を行い,パシベーションとエッチングのバランスを得ることが重要である.

イオンがシース電場で加速されて表面へ入射する時,熱速度由来する表面平行方向の速度成分をもつことによってイオン入射角度分布が顕れる.通常,±4°程度の分布をもつ(図4).ラジカルと電子では表面に向かって等方的に入射するため,角度分布はランダムである.構造内の深部では開口部のシャドーイングが発生する.正イオンは構造内の深部に到達しやすいのに対し,ランダム入射の電子は開口部入口に集中する.このことから,微細構造内部では正負電荷の入射バランスが崩れ電荷が中和されないことが発生する.つまり,構造内で帯電が発生する.この帯電によって構造内でイオンは減速され,軌道を変えることがある.結果,イオンエネルギーの低下によってエッチングが停止する.構造内で部分的に帯電を生じると,イオン軌道が曲がり,エッチング形状が異常となる.構造内に侵入したイオンは,構造の側壁部分で斜入射する形になる.斜入射イオンの多くは電荷交換だけ起こし,中性の高速粒子となる.

3次元化が進めば進むほど,加工寸法を均一に制御する難易度が上がり,形状異常がなく,アスペクト比依存のない加工は困難である. HAR構造の内部の表面反応が,構造内の底部に届くイオンとラジカルのフラックスとエネルギー,ラジカルの側壁表面への付着確率,反応生成物の再付着と構造外への排気を伴っている.構造内の計測は,現時点でも困難であり,どのように活性種が輸送され,反応が進行しているのかについては,エッチング結果との照らし合わせから考察するしかないのが実状である.

HAR構造の底部(エッチング進行面)での表面反応に係わるイオンとラジカルのHAR構造内の輸送を基本的に考察する.イオンはプラズマと表面の間のシース電場によって数keVにまで加速される.Arイオンの場合,数μmの輸送距離で約100km/sの速度に達する.一方,加速を受けない熱運動で入射するラジカルでは,Ar原子程度の質量の分子を考えると,室温から600K程度の場合には平均熱速度にして数km/sである.HAR構造を円筒と仮定し,その直径をD,深さLとする(図5).開口部面積Aはπ(D/2)2で示される.圧力p1の空間(プラズマ)からガスが,この孔を通過する流量は1/4vthAp1で与えられ,HAR孔構造ではシャドーイング効果によって4D/3L倍になる.孔の下端での圧力p2とp1の圧力空間の間でやりとりする正味の流量Qは,1/4vthA(p1-p2)であり,孔内の輸送は圧力勾配Δp=(p1-p2)に依存し,Q=CΔpと表せる.したがって,この比例係数はC=1/4 v_th π D^2/4 4D/3L=となり,アスペクトに依存する部分はD3/L倍とまとめられる.ラジカルの孔内拡散輸送は長さLに反比例し,開口直径の3乗に比例する.微細化によって著しく難しくなる.

開口部から入孔したラジカルは,孔内壁に一旦吸着して,熱的に再脱離が進み(ベルヌーイ過程),その放射パターンは余弦則に従うと仮定できる.孔内に流入して孔終端部に達する非定常流の流れは,任意の場所xでKは拡散定数として K (∂^2 p)/(∂x^2 )=∂p/∂t,が成り立つ.底部の圧力pが徐々に変化する状況で,xがL離れた孔終端部への流量Qは-CL├ dp/dx┤|_(x=L)で与えられ,この時間変化は,Q=2Cp/√π 1/√T exp(-1/4T)と求められる.ここで,TはT=t K/L^2 で表す無次元時間である.Kには孔内を進む表面吸着を伴う拡散であり,K= D2/3・((D/vth)+s)-1と書き表せる.sは実効的な表面滞在時間である.TにKを代入すれば,3(L/D)^2 (D/V_th +s)が得られる.第二の括弧内の第一項は孔直径をガスが移動する平均時間,第二項は表面滞在の平均時間,(L/D)2は衝突回数を表している [5].すなわち,微細孔底部までラジカルを輸送して,反応生成物を孔外に排気するためには,アスペクト比L/Dの二乗に比例する時間を要する.エッチングメカニズムにも依存することではあるが,エッチャントを供給したい場合には,表面に吸着性の高いと,表面の滞在時間が長く孔内輸送が不十分となる.総じて,孔内輸送を早めるためには,表面吸着しにくい粒子とするか,温度を上げるなどして拡散を速めるしかない.ただし,反応と輸送を別々のタイミングで実施できれば,HARに依存する時間の制御から逃れられる.例えば,飽和吸着現象が起きる系では十分長い時間を取って拡散させてから,HAR構造底部で反応した後に反応生成物を排出することができる.飽和しなくても現実的な待機時間を導入するだけで,HAR構造で生じるLやDの幾何学的な影響を軽減できる.

図4 高アスペクト比構造内のエッチング反応 [1]より転載
図5 アスペクト比(D/L).無次元時間Tと流量Qの関係.

5. HAR構造内のチャージアップの緩和

HAR構造の微細な開口部を通してイオンが入射し,構造内の表面に電気導電性が低い場合には,イオンだけが到達する構造内でチャージアップを生じる.HAR構造内のイオンの輸送は,構造内の電位分布によって軌道が変化する.これを防ぐには,電子や負イオンをチャージアップ部まで輸送するか,構造内の表面に導電性をもたせチャージアップを緩和する必要がある.
プラズマをパルス放電するとチャージアップの緩和が促される.プラズマの電子やイオンは壁に向かって拡散し,壁で消失する.ハロゲンを含む負性ガスのプラズマ中では,電子の一部はガスに電子付着を生じて負イオンが生成している.プラズマの放電停止(オフ)時間には放電維持が途絶えるので,オフの瞬間にはガスに電子が付着して消失することが支配的になって電子密度が減少する.これは電子付着の反応定数の大小に依存するので,その反応断面積に比例する.酸素や塩素,フッ素を含有する低分子ガス(CF4やCHF3)の電子付着は付着断面積が比較的小さい.分子数が大きいフルオロカーボン分子であるC4F6やC4F8では電子付着断面積が大きい(図6).これは,パルスオフ瞬間に負イオンの生成によって電子が消失するために必要な時間を考えると,前者ではパルス周期は1kHzレベルが最適であり,後者では数10kHzレベルとなる [6].

プラズマのオフ時に電子が消失し,遅れて負イオンの壁に向かう拡散で消失していくが,この間,バルク部分では正イオンと負イオンからなる正負イオンで構成されるプラズマ状態になっており,正負イオンの質量は大きく変わらないため,正イオンシースは消え,プラズマポテンシャルは下がっている.この状況で,パルスオフ周期に基板側が正電位(ないし対向電極側が負電位)になるようにバイアスを掛けることで,電場に応じて正負イオンは逆方向に加速され,基板側に指向性をもって電子や負イオンが加速されることで,構造内に注入される.CCPで基板に対向電極に負電位を掛けた時の電子密度と二次電子の発生を観察した [7].このことで,ウェハ面に二次電子や負イオンを注入し,チャージアップを抑制することができる.
パルスプラズマでは,パルスのオン時間に活性種を生成し,オフ時には電荷中性の分子が排気されるまで残ることから,表面のチャージアップ緩和を含めた表面反応は気相の反応と連成している.そのことからも計測を実施し,過渡応答を含めて現状のプラズマ状態を把握した上で,複雑な制御をおこなう.電源の開発が進み,安定したパルス電源とインピーダンス整合(マッチング)の高速化が可能となった.マッチングは,機械式から印加電力の周波数を電子制御し,数100kHzレベルの高速な応答が達成されている.さらに,プラズマ励起のパルス化,バイアスのパルス化を独立して,それぞれのオンオフの位相を調整しながら制御されている.

図6 電子密度の減衰速度のガス種依存 [6]より転載

6. イオンエネルギー依存の表面反応とエッチングに適した分子構造のデザイン

エッチングの反応を材料別に,半導体や絶縁膜,導電膜の場合に考えると,主に生じる反応は異なっている.半導体であるシリコンはハロゲンによって,SiF4やSiCl4などの揮発性の高い反応生成物を生じることによってエッチング反応が進行しやすい.一方で,絶縁膜であるシリコン酸化膜(SiO2)やシリコン窒化膜(SiN, Si3N4)では,安定なSi―OやSi―Nの化学結合を切断してハロゲン化合物を形成するのは困難であるため,イオン照射などによって化学結合切断し,反応を促進する.シリコン酸化膜のエッチングでは,フルオロカーボンプラズマによるフルオロカーボン分子イオン(CF3+, CF2+, CF+)を生成しながら,それらを表面に数100eV以上の高エネルギーで照射する系が用いられる.分子イオンに含まれるF原子数によって,エッチング収率(一イオンあたりの脱離Si原子数)が高くなり,エネルギー依存のエッチング収率の増加は1 keVを超えてくると飽和する(図7) [8].C4F6など分子量大きめのガスは表面にフルオロカーボン層を形成し,イオンが照射によってF含有の多い生成物が脱離する.CF+などのFの少ないイオンでは表面にC量が蓄積しやすく,500eVのイオンエネルギーであっても堆積を生じる.FとCのバランスで表面にC量が蓄積し始めると蓄積が継続し,エッチングできなくなる.このように照射ドーズの経過に依存して,エッチングと堆積のモードが遷移する挙動を示す[9,10].このことは,HAR構造内で,反応に関与するラジカルとイオン種と,チャージアップでイオンの入射エネルギー低下のいかによって,反応の不安定性が顕れ揺らぐことを示唆している.

さらに実際のエッチング環境では,マスクとなる材料は削らずに,所望の材料だけを削り,別の材料面の露出をもって停止したい.このような特性は,材料選択比と呼ばれる.イオンだけではなく,ラジカルや反応生成物が表面反応に関与するため,表面反応層のC-F-Si―Oの元素組成比を制御することが重要である.

図7 フルオロカーボンイオン照射によるSiO2エッチング収率 [8]

材料選択比を得られるエッチングを制御するためには,表面反応層の元素組成比を制御する.簡便に行うためには,イオンやラジカルの種類を制御したい.単純にプラズマ条件の調整や分圧の変更によって制御すると,フルオロカーボンガスの解離過剰でF原子の多量生成などを生じてしまう.F原子はSiを削るため,SiO2の材料選択比が低下してしまう.ガスの解離は滞在時間が長く,高い電子密度で進むため,容器内のガスの滞在時間を短くし,プラズマ中の電子とガスの平均衝突回数を下げて解離を抑制する.しかしながら,プラズマ中での反応を考慮してガス分子構造をデザインする方が望ましい.

電子衝突反応による分子解離を予測して,分子をデザインすることで,所望のイオンやラジカルを得ることを試みている.SiO2エッチング収率が高いCF3+を優先的に生成させる場合,例えば,フルオロエーテル(C3F6O, CF2=CF-O-CF3)では,C―O結合が選択的に解離するため,CF3+を生成させやすい(図8)[11].完全とまではいかないまでも,分子デザインから活性種組成比の制御が有効である.

図8 ビニルエーテルの解離イオン化過程

高い励起エネルギーをもつ希ガスの準安定種は長寿命である.CH2F2では,Hが解離する反応経路とFが解離するものがあるが,このエネルギーはFがHに比べ高い.この解離断面積は,Arの準安定励起種とKrのものに近くなっており,このCH2F2ガスをArで希釈した場合とKrで希釈したプラズマでは,CHF2とCH2Fを優勢に生成する[12].希ガスでCH2F2を希釈した場合,電子衝突反応のみならず,イオンや励起種の反応が活性種組成を制御できる可能性を示した.

ハイドロフルオロカーボン(CxHyFz)はシリコン窒化膜の選択エッチングに適して使われる.シリコン窒化膜中のNが C≡NとNHxを形成すると,材料選択的な高いエッチング速度を得られる.CH2FとCHF2が関与する表面反応では,シリコン酸化膜ではHによるFが除去されエッチング速度が低下する.CH2FとCHF2を優勢に生成するガス系を構築するために,C2ハイドロフルオロカーボンが有効である[13].C―HやC―Fより原子間結合エネルギーが低く,CH2FとCHF2の分子基をもつC2分子では, C―Cを切断する解離イオン化が優勢となることが予想された.そこで,C2ハイドロフルオロカーボンのプラズマによるSi系膜のエッチングを調べたところ,酸素を組み合わせることによって,SiとSiO2,SiNの材料選択比を調整できることがわかった(図9)[14].

図9 C2系ハイドロフルオロカーボンガスによるCHF2の優先生成とSiN膜のエッチング速度 [14]

7.  材料選択比をもつエッチングを使った原子レベル制御

イオンが表面垂直に照射される事によって方向的,異方的にエッチングが進行する.イオン照射面でエッチングを進め,非照射面では保護膜が堆積して反応層の形成(パシベーション)する.これに対して,いずれの方向にも同様に反応が進む方法は,等方性エッチングと呼ばれる.ここでも,パシベーションと反応層の除去(エッチング)を制御することが必要である.トランジスタを作製する際,材料の選択性を得られる等方性プロセスの重要性が増してきた.この一連の流れは,アトミックレイヤープロセス(ALP)とも呼ばれ,プラズマプロセスによる3次元ナノ材料の形成の鍵となっている.
ALPでは表面反応の素過程を,パシベーションとエッチングとして,照射イオンエネルギーや基板温度を変調させて材料選択的(化学的)に物質除去を制御する.これは,原子層エッチング(ALE)と呼ばれ,反応層の形成と除去をサイクル処理する方法である.

このALE技術の基盤には,プラズマの下流でシリコンやシリコン酸化膜を除去するダウンフロープロセスがある.Siに対してSiO2をHFの生成で選択的に除去する場合,少量のH2Oの存在が必要である.このことは,水が触媒して表面でHFの解離を示唆している.薬液(ウェット)処理では,HFがH+とF−に解離しており,さらにF−はHFと反応しHF2−を生成する.ドライでもSiO2+6(HF)→H2SiF6+2 H2Oの総括反応で表される経路を介してSiF4が揮発する.

ウェットで,ホウ素やリンを含むシリケートをエッチングする時にはB2O3やP2O5が水に溶解しながらSiO2を削るため,H+をバッファするNH4Fの添加が使われる.ウェットではNH4Fが塩となるから高濃度では処理できない.ドライでは,窒素が存在する系では,NH3HFとF−ではNH4+とF−となる.ドライの状況では(NH4)2SiF6の塩が表面に形成される(図10)[15].この塩は温度が100℃程度で分解揮発する.また,削る量に比例して塩が被覆することでエッチングが抑制され,一定の反応量で飽和することで,削れすぎを抑制できた.Fができないためシリコンのエッチングは進まず,材料選択的なエッチングが実現する.このメカニズムを使った方法は,いろいろなガス系で実現し, NH3+HFやNH3+NF3などでプラズマを使わない場合もある.他にも,プラズマダウンフローでは,H2プラズマのダウンフローでNF3を添加することで,気相中でHFとNH3を生成する.この方法では,H2のプラズマでH原子を生成するため,プラズマ部分にFを入れなくて良いため,チャンバーの劣化を抑えられる.
また,N2プラズマの下流部にNF3を添加すると,NF3からNF2を介して,Fを生成し,NF2とNF3が反応することで,さらにFを生成し,F供給が可能となる[16].NOとF2の混合でもNOFを介してFを生成する[17].これらFの生成系ではSiやSiNのエッチングを生じる.

ハイドロフルオロカーボンプラズマのダウンフローでの処理においても,SiNを処理すると,Siとの反応で N原子が遊離して,反応層に捕捉される.この反応自身によって,触媒的にエッチャントを生成しながら,反応生成物となる(NH4)2SiF6の塩を表面に形成する.このことで,自己停止的なエッチングが進行する.SiO2表面ではカーボンが堆積して削られず,SiNを選択的に削るプロセスが実現する.一度堆積した(NH4)2SiF6の塩を100℃程度に加温しSiF4+NH3+HFに分解揮発する工程を含めて除去する.反応層形成と除去を繰り返すことで,SiNを選択的に除去できる[18,19].この系では,パシベーションとエッチングを基板温度の変調によって実現している例である.

図10 プラズマダウンフローでのドライエッチング [15-17]

金属材料では揮発性反応生成物をつくる反応が難しいことが多い(図11).溶液中では金属イオンとして溶液中にイオン化傾向を反映して溶解させられる.一方,気固界面での金属表面反応では金属原子の金属結合が固体表面に広がっているため,その金属結合を切断して金属原子を含む分子にすることが難しい.卑な金属であれば酸化エンタルビーが大きく,酸化やハロゲン化が進みやすい.金属結合が切断され,金属化合物を表面に形成する.この金属化合物が高い揮発性をもつとは限らない.揮発する際,分子の安定性は重要であるが,安定性が高い反応生成物はむしろ,揮発性が低い場合が多い.揮発性が高い金属化合物は,中心金属原子に配位する基の結合性を分子構造から考える.表面に反応生成物ができ,この分子の表面との間に働く相互作用を低くできれば揮発性を高く得られる[20].このように,金属をドライエッチングで除去するためには,半導体のドライエッチングで見られたハロゲン化物を生成すれば良いというプロセス設計戦略では実現しない.

最近では,一旦表面にエッチング反応の前駆体となる変質層を形成し,その変質層を揮発する方法の研究が盛んになっている.Alであれば,比較的制御がうまくいくことが報告されており,酸化アルミ(Al2O3)をHFに暴露することでAlF3層を形成し,その後トリメチルアルミ(Al(CH3)3)を暴露することで,表面ではAlF3のFからCH3へ配位子置換が行われ,Al2O3部分がAlFx(CH3)3-xで揮発させることができる.貴な金属では難易度が高く,Cuのエッチング例で概念を説明すると,Cuを酸化してCuOとCu2Oのいずれかの表面を変質層とし,酢酸(C2H5COOH)をガスで供給すれば, Cu―O結合にカルボキシル(C(=O)OH) 基が反応することで,Cu化合物を形成する.Cuに酢酸アニオンが配位した銅錯体は揮発性をもつ.Cuを二核もち,4つのアニオンが配する構造が水車の羽根に似た形となる安定な錯体の構造である.Cu2Oでは酢酸の分解も生じる半反応となるため,CuOである方が良い.酸化状態のみならず,CuOとCu2Oの酸化が島状か,層状で進むのか,変質層の形成も影響し,その制御は未解決な点が多く残っている.

図11 表面に編制層を形成し,その後除去するサイクルエッチング方法 [20]

以上述べてきたように,パシベーションとエッチングのプロセスを分離して交互(サイクル)で処理する方法では,化学的な材料選択的に生じる表面反応を利用している(図12).結果として材料選択的なプロセスとなることが多く,交互サイクルの導入でプロセス設計の幅が広がっている.原子・分子スケールで制御する材料加工プロセスにおいて主役的な役割を担ってきている.

図12 原子エッチングのメカニズム

8. 形状制御

HAR構造の形状異常には,多くの種類が知られる.ここではマイクロ・ローディング効果,RIEラグ(lag)等とも呼ばれるアスペクト比依存エッチングを取り上げる.HARエッチングでは,開口部から構造内に侵入する活性種量は開口部の射影効果のため,構造のアスペクト比に依存してエッチング速度が変化してしまう.開口部が広ければラジカルが多く供給され,消費(ローディング)が大きく,狭いところでは少ない.HAR構造内の輸送では,エッチング種と堆積種,反応生成物の構造内への供給量の違いが,エッチング速度の違いとして顕れる.

HAR構造内に深くまで運ぶためには,吸着確率が低い活性種でなければならない.吸着確率は温度依存性をもつので基板温度を上げてもよい.逆にパシベーションを重視すれば,低温のプロセスを行う.サイクルエッチングは,構造内の側壁にイオンからもラジカルからもエッチングされない保護層を堆積し,底部にイオン照射してエッチングする.反応種の表面吸着確率が高く短時間のサイクルステップであると,アスペクト比に対して形状を制御できる限界の深さが決まってしまう.入射角度分布をもつイオンでも,同様に開口によって射影効果をもつため,アスペクト比に依存するエッチング速度の違いが顕れる.イオンは構造内の側壁に斜入射し電荷交換をして反射するので,側壁の帯電がアスペクト比に応じて生じる場合もある.

斜入射でイオンが照射されると,正反射で反跳粒子が生成される.平坦な面が理想であるが,凹凸がある場合,例えば凸部の前面では反跳粒子ができにくく,凸部の背後に表面拡散した粒子が回り込むことで発生する.イオンの斜入射方向に対して深く削れる箇所が縦方向にも横方向にも周期的に顕れることがある.その中でも,一旦マスク部の側壁で反射した粒子が反射して入射する箇所に凹凸が縞状(ストラリレーション)に自己組織的に発生することが見つかった[21].この現象のモデル化は,2021年ノーベル物理学賞を受賞したパリージら提唱したKardar-Parisi-Zhang(KPZ)方程式が結晶の成長表面の時間変化に類似している[22].斜入射イオンビームでの表面形態の時間発展に凹凸(リップル)の形成をBradley-Harperが観察したこととも通じる[23].揺らぎをもつ系で周期的凹凸を形成することを説明するKPZ方程式は,x位置での表面高さh(x)の時間変化は∂/∂t h(x,t)=γ∇^2 h(x,t)+λ/2 〖(∇h)〗^2 (x,t)+η(x,t) に従う.ここで,γは表面張力,λは非線形効果の強さ,ηは平均ゼロの確率的な(ガウシアン)ノイズである.もしKPZで側壁凹凸の形成が記述できたならば,その現象にはスパッタで粒子が弾き出され,表面高さが変化し,揺らぎのある中で凸部がエネルギー的に不利であると,非線形な表面拡散によって,自己組織的なある振幅と周期をもつ凹凸が形成できると説明できる.さらに,この凹凸形成はべき乗則(スケーリング)を示すはずである[24].このような現象は,イオン照射というエネルギーと物質が表面に流れ込む非平衡系で,確率的な時間発展を伴う緩和現象に見られる散逸構造とも言える.この実験結果がKPZメカニズムであるのかどうかの真偽は定かとは言い切れないが,側壁に堆積するポリマーの制御が凹凸の大小を決める因子となっている.

HAR構造をエッチングする勘所は,任意の深さで供給律速の反応を進むことを避けるために,活性種の微細構造内の輸送を制御して,活性種輸送の時定数を相対的に短くして反応律速にするか,自己停止的な構造内でのエッチングとパシベーションの反応を組み合わせ,アスペクト比依存のメカニズムが働くことを避けることである(図13).デバイス作製の観点からは,逆に幾何形状に依存した反応を積極的に取り入れることで,材料選択比とは別の形で反応を制御できる.

図13 構造内のエッチングに影響する因子.側壁面での吸着確率,反応確率が高アスペクト比構造エッチングのナノスケール制御で重要 [1]

9. ダメージ制御

エッチングの目的はデバイスを製造するためである.材料の特性を劣化させる加工では意味がない.加工時の劣化はプロセスダメージ制御とも呼ばれ,ダメージといっても種類にわけて考えた方が良い.その性質から,物理的,化学的,電気的,信頼性などに分類される.

プラズマから入射する高エネルギーな粒子の照射によって材料が物理的にダメージを受ける.イオン照射,光照射によって,結晶内の誘起欠陥が発生し,結晶性に乱れを生じる.物理的に結晶構造に空孔などの欠陥が発生する.不純物が混入するような化学的な乱れを発生する場合もある.機能性材料では特に,ドーピングしている元素を不活性化するなど,機能性劣化が問題である.界面の乱れを制御することが肝要である.プロセス中に生じるダメージのみならず,プロセスを基点とし,電子デバイスなどで使用していく経過で劣化する現象も含まれる.経時変化,信頼性への影響も考慮しなければならない.

GaNなどの化合物のエッチングでは組成制御が重要性である.Ga―Nの結合が解離すると,Nは揮発しやすいため,Gaがリッチな表面となり,エッチング時にGaとNの組成が変化してしまうことを意味する.そのため,Gaの揮発を十分得るように制御しないとならないが,それをイオンエネルギーなどによって得ようとすれば,バルクにイオン照射ダメージを形成する.結合エネルギーの高い材料にダメージを与えずに加工することは難易度が高い.安定な材料であれば,なるべく低いエネルギーで物質除去まで至る反応をさせたいため,基板温度を上げた方が効果的である.GaNを塩素で削る例では,Ga2Cl6の揮発が温度を300℃程度まであげると優勢になる.高温でエッチングすると,低いイオンエネルギーで加工できるので,ダメージ抑制の効果が大きい(図14)[25].一方で,高温すぎると物理的にも化学的にもダメージ生成の反応も促進されるので,最適な温度が存在し,GaNでは300~400℃である.図にイオンとラジカル,光による総ダメージ量を三角形の面積で示している.高温下では光とラジカルによる化学エッチング効果などによってGaN内部のプラズマ誘起欠陥が減少し,低ダメージのプロセスが実現する.

図14 GaNの高温エッチングによって, [25]

10. プラズマプロセスの環境性能とバーチャル実験環境

プラズマ装置を稼動させるために,真空ポンプや温調器など多くの動力機器が使われている.プラズマの励起電力やバイアス電力なども,高アスペクト比構造をエッチングするために,肥大化している[26].製造時の省エネルギーや省資源化の必要性が指摘されている.研究開発段階では,最適レシピを得るために試行錯誤が繰り返されていて,それに消費されるエネルギーや資源は甚大なものとなっている.半導体集積回路がデジタル化を進め,パワエレが高効率な機器をもたらし,低消費エネルギーを実現している一方で,その半導体の製造工程の環境配慮は大して気にされていない.しかしながら,プラズマプロセスの科学的な理解が進めば,効率的にレシピ探索がなされるはずである.その実現のためには,計測技術を活用したプラズマの状態の理解を進め,そこから得られる情報を元に効率的な研究開発を進めなければならない.

HAR構造のナノスケール制御が実現されてきてはいるが,究極的な目標にはナノスケール制御を量子スケールにまで精度を上げなければならない.これを試行錯誤で実現するのではなく,科学的も実現することで,将来的にはバーチャル実験環境(e実験)の構築によって,バーチャル仮想的な試行錯誤を繰り返すことで環境配慮も進めた効率的なものにしなければならない.(図15).e実験環境の構築は,これまでにも多くの取り組みがなされてきた.第一原理から現象をデジタル環境にシミュレーションできれば,現実の実験が不要になると期待される.しかしながら,階層的なプラズマプロセスを要素還元しても複雑なままである.プラズマで生成される活性種,その活性種が表面まで届く過程,表面反応に分け,それぞれは最先端の計測技術によって実際のパラメータとして計算に取り入れる.気相中では,プラズマの電子のダイナミクスをボルツマン方程式の解法,ガスとの反応を量子化学計算で予測し,活性種の反応経路を逐次連鎖するネットワークとして情報科学を駆使して解析する.表面への輸送には孔内の輸送も組み入れ,拡散やイオン光学の理論,チャージアップの効果も考慮する.表面でのイオンの反応,ラジカルの吸着についても基礎実験と分子動力学計算から解析することで,それらを統合して,気相から表面に至る一連の反応を統合してシミュレーションし,計測データをリアルタイムに組み入れる理論構築を進め,プロセス予測ツールとして構築する.

新たな取組には,複雑な階層を結びつける理論を構築することで,理論-計算-実験の統合環境を構築することである.計算速度が上がった計算環境が手に入れられるかもしれないが,非線形の方程式をゼロから計算して現実をシミュレートできるのかどうかという課題は本質的には変わっていない.むしろ,計測科学によってプロセス中のその場実時間観測を組み合わせることで,モデル上に顕れる重要なパラメータを組み込んでシミュレートできれば,今までの方法を大きく前進させる.計測データを一過性のものとせず,収集してデータベースに格納して再利用することで,機械学習などの情報科学の手法を活用して,さらに発展的な形状やダメージの予測も可能とし,環境面も配慮した最適レシピの最小経路での探索方法の実現を目指している.

図15 階層化したプラズマプロセスシミュレーションによって,理論と計算,実験を統合し,プロセスレシピを効率的に探索するエコシステムの実現. [1] より転載

謝辞

本稿をまとめるにあたり,名古屋大学 関根誠,林俊雄,豊田浩孝,大野哲靖,Thi-Thuy-Nga Nguyen, Viet Duc Dang, 堤 隆嘉, 蕭 世男,近藤博基,九州大学 白谷正治,名城大学 竹田圭吾,東京エレクトロン宮城 大矢好伸,日立製作所 篠田和典,日立ハイテクノロジー 伊澤勝,スクリーンホールディング 谷出敦,中村昭平,堀研究室各位,ドライプロセス国際シンポジウム委員各位に感謝の意を表する.科研費(関根,白谷)

参考文献

[1] K. Ishikawa, et al., Jpn. J. Appl. Phys. 57, 06JA01 (2018).
[2] H. Tanaka, et al. IEEE Symp. VLSI (2007) 14.
[3] M. A. Liberman, and A. J. Lichtenberg, "Principles of Plasma Discharges and Materials Processing", (Wiley, 2005)
[4] P. Charbert, and N. Braithwaite, "Physics of radio-frequency plasmas", (Cambridge, 2011).
[5] G. Tominaga, Jpn. J. Appl. Phys. 4, 129 (1965).
[6] Y. Ohya, et al., Jpn. J. Appl. Phys. 55, 080309 (2016).
[7] Y. Ueyama, et al., Jpn. J. Appl. Phys. 56, 06HC03 (2017).
[8] K. Karahashi, et al., J. Vac. Sci. Technol. A 22, 1166 (2004).
[9] K. Ishikawa, et al., J. Vac. Sci. Technol. A 21, L1 (2003).
[10] K. Yanai, et al., J. Appl. Phys. 97, 053302 (2005).
[11] Y. Kondo, et al., Plasma Source Sci. Technol. 27, 015009 (2018).
[12] Y. Kondo, et al., J. Phys. D: Appl. Phys. 48, 045202 (2015).
[13] T. Hayashi, et al., Jpn. J. Appl. Phys. 58, SEEF01 (2019).
[14] S-H. Hsiao, et al., Appl. Surf. Sci. 541, 148439 (2021).
[15] T. Hayashi, et al., Jpn. J. Appl. Phys. 51, 016201 (2012).
[16] T. Hayashi, et al., Jpn. J. Appl. Phys. 51, 026505 (2012).
[17] S. Tajima, et al., J. Phys. Chem. C 117, 5118 (2013).
[18] K. Shinoda, et al., Appl. Phys. Express 9, 106201 (2016).
[19] K. Shinoda, et al., J. Phys. D: Appl. Phys. 50, 194001 (2017).
[20] K. Ishikawa, et al., Jpn. J. Appl. Phys. 56, 06HA02 (2017).
[21] M. Omura, et al., Jpn. J. Appl. Phys. 58, SEEB02 (2019).
[22] M. Karder, Phys. Rev. Lett. 56, 889 (1986).
[23] R. M. Bradley, J. M. E. Harper, J. Vac. Sci. Technol. A 6, 2390 (1988).
[24] M. A. Makeev, et al., Nucl. Instrum. Met. B 197, 185 (2002).
[25] Z. Liu, et al., Jpn. J. Appl. Phys. 57, 06JD01 (2018).
[26] U. Gupta, et al., IEEE Intern. Symp. High-peform. Comup. Architect. (HPCA), (2021) 854.


Last-modified: 2022-03-19 (土) 21:29:11