ProceedingIntern13

国際会議 2023 | 2022 | 2021 | 2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | before 2009

2013年の国際会議リスト

  • 299) Kenji Ishikawa, Y. Miyawaki, T. Takeuchi, K. Takeda, S. Tajima, H. Kondo, T. Hayashi, M. Sekine, and M. Hori.
    • (PLENARY) Plasma-surface interactions in plasma etching of future device fabrication.
      • The 16th International Workshop on Advanced Plasma Processing and Diagnostics, January 25 - 27, 2013, (Okazaki Conference Center, Okazaki, Japan)
  • 300) T. Suzuki, K. Takeda, Kenji Ishikawa, H. Kondo, M. Sekine, and M. Hori.
    • Radical density control in H2/N2 plasma based on in-situ monitoring with vacuum ultra violet absorption spectroscopy.
      • The 16th International Workshop on Advanced Plasma Processing and Diagnostics, January 25 - 27, 2013, (Okazaki Conference Center, Okazaki, Japan)
  • 301) H. Shimoeda, H. Kondo, Kenji Ishikawa, H. Mineo, M. Sekine and M. Hori.
    • Effects of hydroxyl radicals on crystallographic and electronic structures of carbon nanowalls.
      • The 16th International Workshop on Advanced Plasma Processing and Diagnostics, January 25 - 27, 2013, (Okazaki Conference Center, Okazaki, Japan)
  • 302) Haorang Wang, Kenji Ishikawa, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • Real-time/in-situ electron spin resonance analysis of plasma surface interactions.
      • The 16th International Workshop on Advanced Plasma Processing and Diagnostics, January 25 - 27, 2013, (Okazaki Conference Center, Okazaki, Japan)
  • 303) Jia-Dong Cao, Yi Lu, Ryosuke Kometani, Jong-Yun Park, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • Etching characteristics of AlGaN in inductively coupled Cl2 plasmas.
      • The 16th International Workshop on Advanced Plasma Processing and Diagnostics, January 25 - 27, 2013, (Okazaki Conference Center, Okazaki, Japan)
  • 304) R. Kometani, S. Chen, M. Liu, Kenji Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, M. Hori.
    • High temperature plasma etching of GaN.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), Tha-A03OA
  • 305) J. Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, Kenji Ishikawa, H. Kondo, M. Sekine, M. Hori.
    • Application of atmospheric pressure plasma in element analysis of agricultural products.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P1003A
  • 306) H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, Kenji Ishikawa, H. Kondo, M. Sekine, H. Toyoda, M. Hori.
    • High H radical density produced by 1-m-length atmospheric pressure microwave plasma system.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P1013A
  • 307) T. Hiraoka, T. Tsutsumi, H. Kato, K. Takeda, T. Ohta, H. Kondo, Kenji Ishikawa, M. Ito, M. Sekine, M. Hori.
    • Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P1022A
  • 308) T. Tsutsumi, T. Hiraoka, K. Takeda, Kenji Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori.
    • Real time temperature measurements of film-covered-substrate employing Fourier domain low coherence interferometer during plasma processes.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P1023A
  • 309) T. Komuro, K. Takeda, Kenji Ishikawa, M. Sekine, H. Kondo, M. Hori.
    • Absolute density of fluorine atom in capacitively coupled plasma employing hydro-fluorocarbon gases for highly selective SiO2 etching.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P1028A
  • 310) Y. Abe, A. Fukushima, Y. Kim, K. Takeda, H. Kondo, Kenji Ishikawa, M. Sekine, M. Hori.
    • Cavity ring down measurements of SiH3-behavior in SiH4/H2 plasma.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P1031A
  • 311) T. Horibe, H. Kondo, H. Kano, Kenji Ishikawa, M. Sekine, M. Hiramatsu, M. Hori.
    • Formation and characteristics of Pt-Au nanoparticles supported on carbon nanowalls (CNWs) employing a supercritical fluid.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P1060A
  • 312) H. Shimoeda, H. Kondo, K. Takeda, Kenji Ishikawa, M. Hiramatsu, M. Sekine, M. Hori.
    • Effects of morphological changes induced by hydrogen peroxide treatment on electrical properties of carbon nanowalls.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P1061A
  • 313) H. Cho, H. Kondo, Kenji Ishikawa, M. Sekine, M. Hiramatsu, M. Hori.
    • Crystallographic and electrical properties of vertically-grown graphene sheets by CH4/H2 plasma.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P1064A
  • 314) L. Yu, J. Kuki, H. Kondo, Kenji Ishikawa, M. Sekine, M. Hori.
    • Effect of Ar gas addition on photoconductive characteristics of amorphous carbon films synthesized by plasma-enhanced chemical vapor deposition.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P1067A
  • 315) K. Sun, K. Takeda, S. Tajima, H. Kondo, Kenji Ishikawa, M. Sekine, M. Hori, H. Itoh.
    • Construction of atmospheric pressure mist chemical vapor deposition technology for the all-printed electronic technology.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P2020A
  • 316) A. Fukushima, Y. Lu, Y. Abe, K. Takeda, H. Kondo, Kenji Ishikawa, M. Sekine, M. Hori.
    • Relation between gaseous radicals and μc-Si film property in SiH4/H2 plasma CVD.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P2026A
  • 317) L. Ya, A. Fukushima, Y. Abe, Y. Kim, K. Takeda, Kenji Ishikawa, H. Kondo, M. Sekine, M. Hori.
    • Hydrogen radical injection plasma deposition of (110)-preferentially oriented microcrystalline silicon films.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P2036A
  • 318) Y. Lu, A. Kobayashi, Y. Kim, H. Kondo, Kenji Ishikawa, M. Sekine, M. Hori.
    • Study on precursor adsorption and reaction within SiO2 growth cycle of low temperature plasma-enhanced atomic layer deposition using in situ ATR-FTIR.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P2075A
  • 319) J. Kuki, L. Yu, H. Kondo, Kenji Ishikawa, M. Sekine, M. Hori.
    • Effect of boron doping on crystalline structures and electrical properties of amorphous carbon films grown by radical-injection plasma enhanced chemical vapor deposition.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P2077C
  • 320) M. Sekine, S. Amasaki, T. Takeuchi, Kenji Ishikawa, K. Takeda, H. Kondo, M. Hori.
    • Investigation of Si etch reaction with F and O radicals using SF6/O2 plasma.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3001A
  • 321) Z. Liu, S. Chen, Y. Lu, R. Kometani, Kenji Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori.
    • Sequential exposure of N and H atoms for recovery of plasma-damaged GaN.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3003A
  • 322) T. Takeuchi, C. Corbella, S. Grosse-Kreul, A. Keudell, Kenji Ishikawa, H. Kondo, K. Takeda, M. Sekine, M. Hori.
    • Real-time variation of sputtering yield of Ar ion for ArF photoresist during Ar plasma exposure.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3004A
  • 323) S. Tajima, T. Hayashi, Kenji Ishikawa, M. Sekine, M. Hori.
    • The change in surface morphology of Si at elevated temperature during the plasmaless Si etching with NO/F2 gas mixture.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3005A
  • 324) T. Suzuki, K. Takeda, Kenji Ishikawa, H. Kondo, M. Sekine, M. Hori.
    • Subsequent temporal change of gaseous H and N radical density in H2/N2 plasma after air exposure and its control.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3006A
  • 325) Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, Kenji Ishikawa, T. Hayashi, M. Sekine, M. Hori.
    • Gas-phase reaction model of Ar-diluted CHxFy plasmas.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3007A
  • 326) A. Malinowski, T. Takeuchi, T. Suzuki, M. Hori, M. Sekine, H. Kondo, Kenji Ishikawa, L. Lukasiak, A. Jakubowski.
    • Investigation of sticking coefficent of hydrogen radical on ArF 193 nm chemically amplified resist.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3010A
  • 327) T. Hayashi, Kenji Ishikawa, M. Sekine, M. Hori.
    • Excitation dissociations of c-C5F8 and c-C5HF7 etching gases.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3011A
  • 328) J. Cao, R. Kometani, J. Park, Kenji Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    • Low-damage, high-accuracy plasma etching of Ga-compound semiconductors.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3012A
  • 329) M. Ito, H. Hashizume, T. Ohta, F. Jia, K. Takeda, Kenji Ishikawa, M. Hori.
    • Dependence of exposure distance on inactivation of P. digitatum spores using low-temperature atmospheric pressure radical source.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3017A
  • 330) H. Tanaka, M. Mizuno, Kenji Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori.
    • Plasma-activated medium selectively killed glioblastoma brain tumor cells and indueced apoptosis.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3022A
  • 331) Kenji Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    • Electron spin resonance study of plasma-biological surface interaction for food hygiene.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3025A
  • 332) H. Mizuno, Kenji Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    • Plasma-biological surface interaction investigated by electron spin resonance.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3027A
  • 333) H. Hashizume, T. Ohta, M. Ito, F. Jia, K. Takeda, Kenji Ishikawa, M. Hori.
    • Measurement of singlet oxygen molecule densities in the inactivation process of P. digitatum spores using low-temperature atmospheric pressure radical source.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3040A
  • 334) J. Jolibois, K. Takeda, H. Tanaka, Kenji Ishikawa, M. Hori.
    • Effect of biological solution on generation of radical species induced by nonequilibrium atmospheric pressure plasma.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P3051A
  • 335) H. Kondo, T. Hagino, Kenji Ishikawa, H. Kano, M. Sekine, M. Hori.
    • Study on mechanism of ultrahigh speed synthesis of high crystallinity nanographene employing in-liquid plasma.
      • The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), January 24-February 1, 2013, (Nagoya University, Aichi, Japan), P4011A
  • 336) Hiroshi Hashizume, T. Ohta, M. Ito, F. Jia, K. Takeda, Kenji Ishikawa, M. Hori.
    • Effects of reactive oxygen species on inactivation of Penicillium digitatum spores dependent on exposure distance using an atmospheric-pressureoxygen radical source.
      • The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013), February 2-3, 2013, (Gero-Synergy center, Gifu, Japan), O-7
  • 337) J. S.Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, Kenji Ishikawa, M. Sekine, M. Hori.
    • Influence of N2 inclusion to Ar AP plasma in atomization.
      • The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013), February 2-3, 2013, (Gero-Synergy center, Gifu, Japan), PA-10
  • 338) Y. Kondo, Y. Miyawaki, K. Takeda, Kenji Ishikawa, H. Kondo, T. Hayashi, M. Sekine, M. Hori.
    • Effects of hydrogen contained in fluorocarbon gas molecules fordielectric film etching process.
      • The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013), February 2-3, 2013, (Gero-Synergy center, Gifu, Japan), PA-11
  • 339) T. Hayashi, Kenji Ishikawa, M.Sekine, M. Hori.
    • Dissociative properties of etching gases.
      • The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013), February 2-3, 2013, (Gero-Synergy center, Gifu, Japan), PD-1
  • 340) R. Kometani, L. Michael, Kenji Ishikawa, K. Takeda, H. Kondo, H. Amano, M. Sekine, M.Hori.
    • Chlorine plasma etching of GaN at high temperature.
      • The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013), February 2-3, 2013, (Gero-Synergy center, Gifu, Japan), PG-7
  • 341) H. Tanaka, M. Mizuno, Kenji Ishikawa, K.Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori.
    • Characterization of anti-tumor effect of glioblastoma brain tumor cellsby plasma-activated medium.
      • The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013), February 2-3, 2013, (Gero-Synergy center, Gifu, Japan), PH-7
  • 342) Y. Miyawaki, Y. Kondo, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, A. Ito, H. Matsumoto, M. Hori.
    • (INVITED) Reduction of surface roughness on ArF-photoresist by C5HF7 gas plasma.
      • 17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Sungkyunkwan University, Suwon & Hanhwa Resort Seorak, Sokcho, Republic of Korea, May 23-25, 2013).
  • 343) H. Hashizume, T. Ohta, F. Jia, K. Takeda, K. Ishikawa, M. Hori, M. Ito.
    • (INVITED) Analysis of fungal spore inactivation based on measurements of reactive-oxygen-species densities.
      • 17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Sungkyunkwan University, Suwon & Hanhwa Resort Seorak, Sokcho, Republic of Korea, May 23-25, 2013).
  • 344) S. Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Hori.
    • (INVITED) Si chemical dry etching using the reaction of NO and F2.
      • 17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, (Sungkyunkwan University, Suwon & Hanhwa Resort Seorak, Sokcho, Republic of Korea, May 23-25, 2013).
  • 345) L. Jia, J. Kuki, L. Yu, H. Kondo, Kenji Ishikawa, M. Sekine, M. Hori.
    • Effect of boron doping on amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition.
      • 17th International Workshop on Advanced Plasma Processing and Diagnostics and 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, May 23-25, 2013 (Korea)
  • 346) Takayuki Ohta, Hiroshi Hashizume, Masafumi Ito, Keigo Takeda, Kenji Ishikawa, and M. Hori.
    • Inactivation of microorganisms in liquid exposed by oxygen radical source.
      • XXXI International Conference on Phenomena in Ionized Gases (ICPIG), (Granada Congress Centre, Spain, July 14-19, 201), PS3-103
  • 347) Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • Non-contact temperature measurements of film-covered-substrate during plasma processes.
      • XXXI International Conference on Phenomena in Ionized Gases (ICPIG), (Granada Congress Centre, Spain, July 14-19, 201), PS4-013
  • 348) J. Kularatne, J. Jolibois, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    • Detection of metal elements in soil using atmospheric pressure plasma.
      • The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP), International Conference Halls, Makuhari Messe Chiba, Japan , July 14-19, 2013), D2-PTu-10
  • 349) J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori.
    • Effect of Hexane on the synthesis of nanographene using liquid plasma.
      • The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP), International Conference Halls, Makuhari Messe Chiba, Japan , July 14-19, 2013), D2-PTh-6
  • 350) H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori.
    • Crystallographic and chemical modification of carbon nanowalls by radical oxidation.
      • The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP), International Conference Halls, Makuhari Messe Chiba, Japan , July 14-19, 2013), A3-3-O4
  • 351) Masaru Hori, Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, and Makoto Sekine.
    • Hydrogen radical-injection plasma fabricated microcrystalline silicon thin film for solar cells.
      • 21th International Symposium on Plasma Chemistry (ISPC), (Cairns Convention Centre, Australia, August 4-9, 2013)
  • 352) Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori .
    • Plasma-activated medium induced apoptosis on glioblastoma brain tumor cells by inhibiting growth/survival signaling.
      • 21th International Symposium on Plasma Chemistry (ISPC), (Cairns Convention Centre, Australia, August 4-9, 2013)
  • 353) Hiroko Iguchi, Ryosuke Kometani, Michael Liu, Kenji Nakashima, Takahiro Kozawa, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Effects of high temperature etching on GaN using by Cl2 plasma.
      • 10th International Conference on Nitride Semiconductors (ICNS10), (Wasington, USA, August 25-30, 2013), DP.1.20.
  • 354) Makoto Sekine, Zecheng Liu, Shang Chen, Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
    • Recovery of plasma-damaged GaN by in situ radical exposure.
      • 10th International Conference on Nitride Semiconductors (ICNS10), (Wasington, USA, August 25-30, 2013), AP.1.61.
  • 355) Kenji Ishikawa, Ryosuke Kometani, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • Surface analysis of GaN at elevated substrate temperature.
      • 10th International Conference on Nitride Semiconductors (ICNS10), (Wasington, USA, August 25-30, 2013), AP.1.59.
  • 356) Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • (INVITED) In-situ ESR measurements for plasma materials interactions.
      • (AEPSE), 23-1
  • 357) Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka kikkawa, Masaaki Mizono, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • (INVITED) Electron spin resonance study of plasma-liquid medium interactions.
      • 9th Asian-european International Conference On Plasma Surface Enginnering, Conference and Exhibition (AEPSE), (Ramada Plaza Jeju Hotel, Jeju, Korea, August 25-30, 2013), 3-4I
  • 358) Yudai Miyawaki, Haoran Wang, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Satomi Tajima, Hiroki Kondo, and Masaru Hori.
    • Analysis of fluorocarbon gas plasma surface interactions using real-time / In-situ electron spin resonance.
      • Dry Process Symposium 2013 (DPS2013), (Ramada Plaza Jeju Hotel, Jeju, Korea, August 29-30, 2013), B-3.
  • 359) Takayuki Ohta, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito.
    • Non-contact temperature monitoring of substrates using optical interferometry on plasma processing.
      • Dry Process Symposium 2013 (DPS2013), (Ramada Plaza Jeju Hotel, Jeju, Korea, August 29-30, 2013), P-12
  • 360) Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Fabricating the smooth etched Si surface for MEMS devices by the reaction of NO+F2 -> F + FNO.
      • Dry Process Symposium 2013 (DPS2013), (Ramada Plaza Jeju Hotel, Jeju, Korea, August 29-30, 2013), P-43
  • 361) Kuangda Sun, Keigo Takeda, Hitoshi Itoh, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Low-temperature insulating film formation with plasma enhanced mist chemical vapor deposition.
      • Dry Process Symposium 2013 (DPS2013), (Ramada Plaza Jeju Hotel, Jeju, Korea, August 29-30, 2013), P-56
  • 362) Jerome Jolibois, Jagath Kularatna, Hiroyuki Kano, Masafumi Ito, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Effect of hydrogen peroxide on carbon materials produced by gas-liquid plasma.
      • Dry Process Symposium 2013 (DPS2013), (Ramada Plaza Jeju Hotel, Jeju, Korea, August 29-30, 2013), P-57
  • 363) Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
    • Control of gas phase reaction in etching plasmas employing hydro-fluorocarbon gases.
      • Dry Process Symposium 2013 (DPS2013), (Ramada Plaza Jeju Hotel, Jeju, Korea, August 29-30, 2013), P-61
  • 364) Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Quantum chemical investigations for dissociation paths of fluoro-methane compounds.
      • Dry Process Symposium 2013 (DPS2013), (Ramada Plaza Jeju Hotel, Jeju, Korea, August 29-30, 2013), P-64
  • 365) Jongyun Park, Jiadong Cao, Zecheng Liu, Takashi Kako, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • Plasma etching of Ga-based compound semiconductor.
      • Dry Process Symposium 2013 (DPS2013), (Ramada Plaza Jeju Hotel, Jeju, Korea, August 29-30, 2013), P-69
  • 366) Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Keigo Takeda, Kenji Ishikawa, Hiroyuki Kano, and Fumitaka Kikkawa.
    • Plasma-activated medium induced apoptosis on tumor cells.
      • 66th Annual Gaseous Electronic Conference (GEC2013), (Westin Hotel Princeton, Princeton New Jersey, United States, September 30-4, 2013), LW3.00003
  • 367) Masafumi Ito, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, and Masaru Hori.
    • Reaction mechanism between cell membranes of P. digitatum spores and oxygen radicals.
      • 66th Annual Gaseous Electronic Conference (GEC2013), (Westin Hotel Princeton, Princeton New Jersey, United States, September 30-4, 2013), MR1.00053
  • 368) Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Hiroyuki Kano, Makoto Sekine, and Masaru Hori.
    • Measurement of activated species generated by AC power excited non-equilibrium atmospheric pressure Ar plasma jet with air engulfment.
      • 66th Annual Gaseous Electronic Conference (GEC2013), (Westin Hotel Princeton, Princeton New Jersey, United States, September 30-4, 2013), DT2.00004
  • 369) Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Azumi Ito, Hirokazu Matsumoto, and Masaru Hori.
    • Reduction mechanism of surface roughness on ArF-photoresist using C5HF7 gas plasma.
      • 66th Annual Gaseous Electronic Conference (GEC2013), (Westin Hotel Princeton, Princeton New Jersey, United States, September 30-4, 2013), LW1.00005
  • 370) Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito.
    • Non-contact measurements of substrate-temperature by frequency-domain low-coherence interferometry.
      • AVS 60th International Symposium & Exhibition, (Long Beach, California, USA, October 27-1, 2013), PS1-TuA-10 (17:00)
  • 371) Itsuko Sakai, Shinpei Amasaki, Takuya Takeuchi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Noriko Sakurai, Hisataka Hayashi, Tokuhisa Ohiwa, and Masaru Hori.
    • Reaction mechanism at the sidewall of through Si via (TSV) etching by SF6/O2/SiF4 plasma.
      • AVS 60th International Symposium & Exhibition, (Long Beach, California, USA, October 27-1, 2013), PS2-TuA-11 (17:20)
  • 372) Jia-Dong Cao, Yi Li, Ryosuke Kometani, Jong-Yun Park, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
    • Etching characteristics of AlGaN and GaN in inductively coupled Cl2 plasma.
      • AVS 60th International Symposium & Exhibition, (Long Beach, California, USA, October 27-1, 2013), PS-TuP-11 (18:00)
  • 373) Takuya Takeuchi, Yan Zhang, Kenji Ishikawa, Makoto Sekine, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
    • Plasma induced surface roughness of ArF photoresist examined by plasma-beam processes.
      • AVS 60th International Symposium & Exhibition, (Long Beach, California, USA, October 27-1, 2013), PS-WeM-2 (8:20)
  • 374) Ryosuke Kometani, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
    • High Temperature Etching of GaN preserving smooth and stoichiometric GaN surface.
      • AVS 60th International Symposium & Exhibition, (Long Beach, California, USA, October 27-1, 2013), PS-ThA-6 (15:40)
  • 375) Masaru Hori, K. Takeda, H. Kondo, Kenji Ishikawa, M.Sekine.
    • (INVITED) Advanced surface engineering on material processing employing ultrahigh density atmospheric pressure plasma.
      • The 1st International Conference on Surface Engineering (ICSE2013), (Busan, Korea, November 18-21, 2013), THUAM03-2
  • 376) M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, Kenji Ishikawa, H. Kondo, M. Sekine, M. Hori.
    • (INVITED) Advanced surface engineering on material processing employing ultrahigh density atmospheric pressure plasma.
      • The 1st International Conference on Surface Engineering (ICSE2013), (Busan, Korea, , November 18-21, 2013), THUAM03-2
  • 377) T. Ohta, H. Hashizume, M. Ito, T. Takeda, K. Ishikawa, M. Hori.
    • Gas phase diagnostics of atmospheric oxygen radical sourse on inactivation of P. digitatum spores.
      • 8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology, (Hsinchu, Taiwan, December 20-22, 2013). O10-03

国際会議 2023 | 2022 | 2021 | 2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | before 2009


Copyright Kenji Ishikawa (c) 2009-2023 Center for Low-temperature plasma sciences, Nagoya University.

Last-modified: 2020-11-20 (金) 22:44:30