ProceedingIntern11

国際会議 2023 | 2022 | 2021 | 2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | before 2009

2011年の国際会議リスト

  • 95) Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori.
    • (INVITED) Real-time electron-spin-resonance study of plasma-surface interaction.
      • 12th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop, (Kyushu Univ., Fukuoka, Japan, Jan. 4-6, 2011).
  • 96) T. Hiraoka, K. Takeda, Kenji Ishikawa, H. Kondo, M. Ito, Makoto Sekine, and Masaru Hori.
    • Study of terahertz time domain spectroscopy for biological plasma applications.
      • 12th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop, (Kyushu Univ., Fukuoka, Japan, Jan. 4-6, 2011).
  • 97) S. Iseki, T. Ohta, M. Ito, H. Kano, K. Takeda, Kenji Ishikawa, H. Kondo, Makoto Sekine and Masaru Hori.
    • Effect of reactive oxygen species on Penicillium digitatum inactivation.
      • 12th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop, (Kyushu Univ., Fukuoka, Japan, Jan. 4-6, 2011).
  • 98) Masaru Hori, Kenji Ishikawa, Keigo Takeda, and Sekine Makoto.
    • Insight into plasma nano-interface with organic materials.
      • 2nd International Workshop on Plasma Nano-interface and Plasma Characterization (Cerklje, Slovenija, March 1-4, 2011).
  • 99) Kenji Ishikawa, Shang Chen, Ryosuke Kometani, Hiroki Kondo, Keigo Takeda, Hiroyuki Kano, Yutaka Tokuda, Makoto Sekine, and Masaru Hori.
    • Nitrogen radical annealing recovery of etch-induced-damage on GaN.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P3-036B, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 100) Ryosuke Kometani, Shang Chen, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, Yuichi Setsuhara, Masaru Hori.
    • Interaction of gallium nitride (GaN) surface with chlorine plasma beams analyzed by in-situ XPS.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P3-001A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 101) Shang Chen, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroyuki Kano, Yutaka Tokuda, Makoto Sekine, and Masaru Hori.
    • Deep level defect in GaN after plasma beam etching.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P3-003A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 102) Naoya Sumi, Kenji Ishikawa, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori.
    • Real-time electron-spin-resonance measurement of plasma induced surface interactions.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), 7p-A04OA, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 103) T. Hiraoka, K. Takeda, Kenji Ishikawa, H. Kondo, M. Ito, Makoto Sekine, Masaru Hori.
    • Measurement of optical properties of carbon-based material using terahertz time domain spectroscopy.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P2-002A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 104) Noboru Ebizuka, Hiroki Kondo, Kenji Ishikawa, Masaru Hori.
    • Plasma nano-technologies for fabrications of VPH grating, quasi-Bragg grating and novel immersion grating.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P2-019A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 105) Yusuke Kondo, Y. Miyawaki, K. Takeda, Kenji Ishikawa, H. Kondo, T. Hayashi, H. Okamoto,Makoto Sekine, Masaru Hori.
    • SiO2 plasma etching process using alternative gas, C3F6O.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P3-004A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 106) Sho Kawashima, Yusuke Abe, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori.
    • Crystallinity control of microcrystalline silicon film employing hydrogen radical-injection plasma-enhanced chemical vapor deposition.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P4-002A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 107) Yusuke Abe, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori.
    • Surface loss probability of hydrogen radical in SiH4/H2 plasma.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P2-001A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 108) H. Shimoeda, H. Kondo, K. Takeda, Kenji Ishikawa, M. Hiramatsu, Makoto Sekine, and Masaru Hori.
    • Effects of substrate temperatures on crystallographic changes of carbon nanowalls induced by radical irradiation.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P1-048C, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 109) M. Kato, K. Takeda, Kenji Ishikawa, H. Kondo, Makoto Sekine, and Masaru Hori.
    • Three dimensional distribution of atomic radical in nonequilibrium atmospheric pressure plasma.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P1-004A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 110) Tatsuya Hagino, H. Kano, Kenji Ishikawa, K. Takeda, H. Kondo, Makoto Sekine, and Masaru Hori.
    • High-speed synthesis of nano-graphene by in-liquid plasma in alcohol.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P1-053C, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 111) Fendong Jia, N. Sumi, Kenji Ishikawa, H. Kano, H. Inui, K. Takeda, H. Kondo, Makoto Sekine, and Masaru Hori.
    • Measurement of the electron temperature and density of 60 Hz nonequilibrium atmospheric pressure plasma jet by laser Thomson scattering.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P1-010A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 112) Sachiko Iseki, T. Ohta, M. Ito, H. Kano, K. Takeda, H. Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • O radical measurement in Penicillium digitatum inactivation using non-equilibrium atmospheric O2/Ar plasma.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P2-005A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 113) A. Malinowski, Masaru Hori, Makoto Sekine, Kenji Ishikawa, H. Kondo, H. Yamamoto, T. Takeuchi, T. Suzuki, A. Jakubowski, and L. Lukasiak.
    • Radical flux modeling and analysis for sticking coefficient evaluation.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P2-020A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 114) Takuya Takeuchi, S. Amasaki, K. Takeda, Kenji Ishikawa, H. Kondo, Makoto Sekine, and Masaru Hori.
    • In situ XPS analysis of surface modification on ArF photoresist by fluorocarbon plasma beams.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P3-007A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 115) Yudai Miyawaki, Y. Kondo, H. Yamamoto, K. Takeda, Kenji Ishikawa, A. Yamazaki, A. Ito, H. Matsumoto, Makoto Sekine, and Masaru Hori.
    • C5HF7 chemistry for highly selective etch of SiO2 over Si.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P3-002A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 116) Toshiya Suzuki, K. Takeda, H. Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Sub-nm scale control of etched-profile-fluctuations in organic low-k film etch.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P3-006A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 117) Shinpei Amasaki, T. Takeuchi, K. Takeda, Kenji Ishikawa, H. Kondo, Makoto Sekine, N. Sakurai, H. Hayashi, I. Sakai, T. Ohiwa, and Masaru Hori.
    • Study of Si etch reaction with F and O radicals using SF6/O2 plasma.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P3-008A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 118) Kohei Asano, H. Yamamoto, K. Takeda, Kenji Ishikawa, H. Kondo, Makoto Sekine, and Masaru Hori.
    • In-situ FTIR analysis of porous low-k films exposed to O2 plasma.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P3-005A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 119) Sho Kawashima, Y. Abe, K. Takeda, Kenji Ishikawa, H. Kondo, Makoto Sekine, and Masaru Hori.
    • Crystallinity control of microcrystalline silicon film employing hydrogen radical-injection plasma-enhanced chemical vapor deposition.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P4-002A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 120) Tokushige Kino, H. Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Synthesis of amorphous carbon films employing radical-injection plasma-enhanced chemical vapor deposition system for solar cell application.
      • 3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2011), P4-007A, (Nagoya Institute of Technology, Nagoya Japan, Mar. 11-12, 2011).
  • 121) Naoya Sumi, Kenji Ishikawa, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori.
    • Real-time/in-situ electron-spin-resonance analysis of surface reactions on organic materials in plasma processes.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), P-11.
  • 122) Shinpei Amasaki, T. Takeuchi, K. Takeda, Kenji Ishikawa, H. Kondo, Makoto Sekine, Masaru Hori, N. Sakurai, H. Hayashi, I. Sakai, and T. Ohiwa.
    • Investigation of Si etch reaction with F and O radicals using SF6/O2 plasma.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), P-20.
  • 123) Yusuke Kondo, Y. Miyawaki, K. Takeda, Kenji Ishikawa, H. Kondo, T. Hayashi, H. Okamoto, Makoto Sekine, and Masaru Hori.
    • Chemical modeling of etch process using C3F6O alternative gas.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), P-25.
  • 124) A. Malinowski, Makoto Sekine, Masaru Hori, Kenji Ishikawa, H. Kondo, T. Suzuki, T. Takeuchi, H. Yamamoto, A. Jakubowski, and L. Lukasiak.
    • Investigation of surface reactions in ArF photoresist by using parallel plate structure in conjunction with numerical analysis.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), P-37.
  • 125) T. Kanda, H. Kondo, K. Yamakawa, M. Hiramatsu, K. Takeda, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Control of bridging growth and electrical properties of single carbon nanowalls.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), P-41.
  • 126) Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, A. Kono, and K. Suu.
    • Dissociations of alternate etching gases in reactive plasma.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), P-42.
  • 127) M. Kato, K. Takeda, Kenji Ishikawa, H. Kondo, Makoto Sekine, and Masaru Hori.
    • Gas phase reaction of activated species in O2/Ar nonequilibrium atmospheric pressure plasma.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), P-44.
  • 128) Shang Chen, Y. Lu, R. Kometani, K. Takeda, Kenji Ishikawa, H. Kondo, H. Kano, Y. Tokuda, Makoto Sekine, Y. Setsuhara, T. Egawa, H. Amano, and Masaru Hori.
    • Passivation of plasma damaged GaN with hydrogen radical anneal.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), P-51.
  • 129) Fendong Jia, K. Takeda, Kenji Ishikawa, H. Inui, S. Iseki, H. Kano, H. Kondo, Makoto Sekine, and Masaru Hori.
    • Measurement of atomic oxygen in ultrahigh density 60 Hz atmospheric pressure plasma by two photon absorption laser induced fluorescence.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), P-52.
  • 130) Y. Lu, S. Chen, R. Kometani, Kenji Ishikawa, H. Kondo, K. Takeda, Makoto Sekine, T. Egawa, H. Amano, Y. Setsuhara, and Masaru Hori.
    • Surface analysis of GaN irradiated by Cl2 plasma beam.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), P-53.
  • 131) Tatsuya Hagino, Hiroyuki Kano, Kenji Ishikawa, K. Takeda, H. Kondo, Makoto Sekine, and Masaru Hori.
    • Synthesis of nano-graphene by plasma in liquid ethanol.
      • 4th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2011), (Takayama, Gifu, Japan, Mar. 10-12, 2011), O-09.
  • 132) Toshiya Suzuki, S. Mitsuguti, A. Malinowski, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori.
    • Subnanometer-scale control of feature size and shape in organic low-k film etch.
      • International Conference on Microelectronics and Plasma Technology (ICMAP) (Dalian, China, July 4-7, 2011), S-07O.
  • 133) Hiroki Kondo, Tatsuya Hagino, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Masaru Hori.
    • Growth processes and crystallographic properties of nanographenes synthesized employing in-liquid plasma.
      • International Conference on Microelectronics and Plasma Technology (ICMAP) (Dalian, China, July 4-7, 2011), S-120P.
  • 134) Kenji Ishikawa, N. Sumi, A. Kono, H. Horibe, K. Takeda, H. Kondo,M. Sekine, and M. Hori.
    • (INVITED) Real-time electron-spin-resonance study of plasma-surface interaction.
      • 13th International Workshop on Advanced Plasma Processing and Diagnostics (Daejeon, Korea, July 21-22, 2011)
  • 135) Shang Chen, Yi Lu, Ryousuke Kometani, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, and Masaru Hori.
    • Recovery of plasma-damaged GaN by atomic nitrogen and hydrogen.
      • 13th International Workshop on Advanced Plasma Processing and Diagnostics (Daejeon, Korea, July 21-22, 2011)
  • 136) Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Hiramatsu Mineo, Makoto Sekine, and Masaru Hori.
    • Effects of oxygen radical irradiation on crystalline structures of carbon nanowalls.
      • 13th International Workshop on Advanced Plasma Processing and Diagnostics (Daejeon, Korea, July 21-22, 2011)
  • 137) Masaru Hori, Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, and Makoto Sekine.
    • Real time electron-spin-rresonace (ESR) study of plasma-induced surface reactions.
      • The 20th International Symposium on Plasma Chemistry (ISPC20), (Philadelphia, U.S.A., July 24-29, 2011), 26M-R1-11:30
  • 138) Keigo Takeda, Masanori Kato, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • Spatial distribution of atomic radical generated by ac excited nonequilibrium atmospheric pressure plasma.
      • The 20th International Symposium on Plasma Chemistry (ISPC20), (Philadelphia, U.S.A., July 24-29, 2011), 28E-R1-15:20
  • 139) Keigo Takeda, Hirotoshi Inui, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • Behavior of hydrogen radical on reduction of copper oxide in atmospheric pressure remote plasma using H2/Ar mixture gases.
      • 30th International Conference on Phenomena in Ionized Gases (ICPIG), (Belfast, Northern Ireland, UK, August 28 - September 2, 2011), D13-6
  • 140) Masaru Hori, Yusuke Abe, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, and Makoto Sekine.
    • Surface reaction of hydrogen radical on plasma enhanced chemical vapour deposition of silicon thin films.
      • 30th International Conference on Phenomena in Ionized Gases (ICPIG), (Belfast, Northern Ireland, UK, August 28 - September 2, 2011), D13-6
  • 141) A. Malinowski, M. Sekine, M. Hori, Kenji Ishikawa, H. Kondo, T. Suzuki, T. Takeuchi, H. Yamamoto, A. Jakubowski, L. Lukasiak, D. Tomaszewski.
    • Sticking coefficient of hydrogen radicals on ArF resist estimated parallel plate structure in conjunction with numerical analysis.
      • 2011 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD 2011), (Osaka University, Osaka, September 8-10, 2011), P-26.
  • 142) Makoto Sekine, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Kenji Ishikawa, Toshio Hayashi, and Masaru Hori.
    • (INVITED) Mechanism of highly selective SiO2 etching over Si3N4 using a hydro-fluorocarbon gas.
      • 4th International conference on advanced plasma technologies, (Strunjan, Slovenia, EU, Sep. 9-13, 2011)
  • 143) A. Malinowski, Masaru Hori, Makoto Sekine, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda.
    • Development of radical kinetic behavior investigation method and its application for sticking coefficient estimation.
      • ESSDERC2011, (Finlandia Hall, Sep. 12-16, 2011), P-26.
  • 144) Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • (INVITED) In situ ESR measurements for revealing plasma-surface interactions.
      • Asian-European International Conference on Plasma Surface Engineering (AEPSE), (Dalian, China, September 19-22, 2011)
  • 145) Fengdong Jia, Keigo Takeda, Kenji Ishikawa, Hiroyuki Kano, Hiroki Kondo, Makoto Sekine and Masaru Hori.
    • Highly spatial mapping of atomic oxygen density in a 60-Hz atmospheric pressure plasmas using calibrated two-photon laser-induced fluorescence.
      • Asian-European International Conference on Plasma Surface Engineering (AEPSE), (Dalian, China, September 19-22, 2011), P-161
  • 146) Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Hiroki Kondo, and Masaru Hori.
    • Modeling of C3F6O/Ar plasma chemistry for SiO2 etching processes.
      • Asian-European International Conference on Plasma Surface Engineering (AEPSE), (Dalian, China, September 19-22, 2011),
  • 147) Hiroshi Yamamoto, Kohei Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • In situ analysis of plasma-induced modification on porous SiOCH films.
      • International Conference on Solid State Devices and Materials (SSDM 2011), (Nagoya, Japan, September 28-30, 2011), C-9-1
  • 148) Yusuke Abe, Atsushi Fukushima, Y. Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Effect of hydrogen radical-injection on growth property and crystallinity of microcrystalline silicon thin film.
      • International Conference on Solid State Devices and Materials (SSDM 2011), (Nagoya, Japan, September 28-30, 2011), L-2-6.
  • 149) Y. Lu, Shang Chen, Ryosuke Kometani, Keigo Takeda, Hiroki Kondo, T. Egawa, Kenji Ishikawa, Hiroshi Amano, Makoto Sekine, and Masaru Hori.
    • Plasma-induced damage of gan and its recovery by atomic hydrogens at a room temperature.
      • International Conference on Solid State Devices and Materials (SSDM 2011), (Nagoya, Japan, September 28-30, 2011), P-6-4.
  • 150) Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, and Masaru Hori.
    • Field emission properties of 10-nm pillars of organics fabricated by Pt particles and plasma etching.
      • International Conference on Solid State Devices and Materials (SSDM 2011), (Nagoya, Japan, September 28-30, 2011), P-8-3.
  • 151) T. Hagino, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Ultrafast synthesis of nanographene employing an ultrahigh-density in-liquid alcohol plasma.
      • International Conference on Solid State Devices and Materials (SSDM 2011), (Nagoya, Japan, September 28-30, 2011), P-13-14.
  • 152) H. J. Cho, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Crystallographic and electrical properties of semiconducting graphene nanoribbon grown employing CH4/H2 plasma.
      • International Conference on Solid State Devices and Materials (SSDM 2011), (Nagoya, Japan, September 28-30, 2011), P-13-19.
  • 153) Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • Polymer surface modification: real-time in situ electron spin resonance study for plasma processes.
      • 58th International Symposium on American Vacuum Society (AVS), (Nashville, Tennessee, New Mexico, October 30-November 4, 2011), PS+SS-ThA-4
  • 154) Shang Chen, Yi Lu, Kometani Ryousuke, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Hiroshi Amano, Yutaka Tokuda, Takashi Egawa, Makoto Sekine, and Masaru Hori.
    • Room temperature radical annealing of plasma damaged gallium nitride.
      • 58th International Symposium on American Vacuum Society (AVS), (Nashville, Tennessee, New Mexico, October 30-November 4, 2011), PS-ThM-11
  • 155) Fengdong Jia, Keigo Takeda, Kenji Ishikawa, Hirotoshi Inui, Sachiko Iseki, Hiroyuki Kano, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • High performance of 60-Hz atmospheric pressure plasma: basic characteristics and applications.
      • 58th International Symposium on American Vacuum Society (AVS), (Nashville, Tennessee, New Mexico, October 30-November 4, 2011), SE+PS-WeA-9
  • 156) Yudai Miyawaki, Yusuke Kondo, Kohei Asano, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, and Masaru Hori.
    • Mechanism of highly selective SiO2 etching over Si3N4, Si and photoresist using hydro-fluorocarbon gases.
      • 58th International Symposium on American Vacuum Society (AVS), (Nashville, Tennessee, New Mexico, October 30-November 4, 2011), PS1-TuA-11
  • 157) Atsushi Fukushima, Yusuke Abe, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori.
    • (Poster award) Relationship between radicals in gas phase and film property of silicon thin films in SiH4/H2 plasma CVD.
      • 15th International Conference on Thin Films (ICTF 2011), Kyoto TERRSA, Japan, November 8 - 11, 2011, P-S2-01
  • 158) Jun Kuki, Leyong Yu, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori.
    • Effects of rf bias on structural properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition.
      • 15th International Conference on Thin Films (ICTF 2011), Kyoto TERRSA, Japan, November 8 - 11, 2011, P-S2-14
  • 159) S. Tomiya, M. Minami, Kenji Ishikawa, S. Izumi, M. Kameda, M. Fukasawa, A. Yamaguchi, Masaru Hori, and Tetsuya Tatsumi.
    • Plasma induced damage to InGaN single quantum well.
      • International Symposium on Dry Process (DPS), Kyoto, November 10 - 11, 2011, A-5
  • 160) Naoya Sumi, Kenji Ishikawa, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • (Young Researcher Award) Real-time / in-situ electron spin resonance analysis of surface reactions on organic materials with atomic hydrogen irradiation.
      • International Symposium on Dry Process (DPS), Kyoto, November 10 - 11, 2011, E-3
  • 161) Kohei Asano, Hiroshi Yamamoto, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, A. Yamazaki, A. Ito, H. Matsumoto, Makoto Sekine, and Masaru Hori.
    • Inhibition of roughness formation on 193 nm photoresist during C5HF7/O2/Ar plasma etching.
      • International Symposium on Dry Process (DPS), Kyoto, November 10 - 11, 2011, B-2
  • 162) Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu.
    • Quantum chemical investigation for Si chemical dry etching by flowing NF3 into N2 down flow plasma.
      • International Symposium on Dry Process (DPS), Kyoto, November 10 - 11, 2011, P1-01
  • 163) Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Kenji Ishikawa, A. Yamazaki, A. Ito, H. Matsumoto, Toshio Hayashi, Makoto Sekine, and Masaru Hori.
    • Clarification of highly selective SiO2 etching mechanism using C5HF7 gas.
      • International Symposium on Dry Process (DPS), Kyoto, November 10 - 11, 2011, P1-03
  • 164) Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Study on photoresist surface modification induced by fluorocarbon etch species.
      • International Symposium on Dry Process (DPS), Kyoto, November 10 - 11, 2011, P1-04
  • 165) Shinpei Amasaki, Takuya Takeuchi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori, N. Sakurai, Hisataka Hayashi, Itsuko Sakai, and Tokuhisa Ohiwa.
    • Investigation of reaction mechanism at sidewall of through Si via (TSV) etching induced by SF6/O2 plasma.
      • International Symposium on Dry Process (DPS), Kyoto, November 10 - 11, 2011, P1-06
  • 166) Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Toshio Hayashi, Makoto Sekine, and Masaru Hori.
    • Modeling of C3F6O/Ar plasma chemistry for SiO2 etching processes.
      • International Symposium on Dry Process (DPS), Kyoto, November 10 - 11, 2011, P1-12
  • 167) Tatsuya Komuro, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, Y. Ohya, Hiroki Kondo, and Masaru Hori.
    • Spatial distribution of electron density in dc-superposed dual-frequency capacitively coupled plasma (DS-CCP).
      • International Symposium on Dry Process (DPS), Kyoto, November 10 - 11, 2011, P1-20
  • 168) Ryusuke Kometani, Shang Chen, Y. Lu, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, T. Egawa, H. Amano, Makoto Sekine, and Masaru Hori.
    • Investigation of GaN exposed to plasma at high temperature.
      • International Symposium on Dry Process (DPS), Kyoto, November 10 - 11, 2011, P1-21
  • 169) Yusuke Abe, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Behavior of radicals in SiH4/H2 plasma for fabrication of solar cell using silicon thin film.
      • 64th Annual Gaseous Electronics Conference (GEC), (Salt Lake City, Utah, USA, November 14 - 18, 2011), ET1.00004
  • 170) Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • Study on modification process of photoresist by fluorocarbon ions and radicals.
      • 64th Annual Gaseous Electronics Conference (GEC), (Salt Lake City, Utah, USA, November 14 - 18, 2011), NR1.00005
  • 171) Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Atsuyo Yamazaki, Azumi Ito, and Hirokazu Matsumoto.
    • Mechanism of highly selective SiO2 etching over Si using new alternative gas, C5HF7.
      • 64th Annual Gaseous Electronics Conference (GEC), (Salt Lake City, Utah, USA, November 14 - 18, 2011), NR1.00006
  • 172) Takehiro Hiraoka, Noboru Ebizuka, Keigo Takeda, Takayuki Ohta, Hiroki Kondo, Kenji Ishikawa, Kodo Kawase, Masafumi Ito, Makoto Sekine, and Masaru Hori.
    • Evaluation of Penicillium digitatum sterilization using non-equilibrium atmospheric pressure plasma by terahertz time-domain spectroscopy.
      • 64th Annual Gaseous Electronics Conference (GEC), (Salt Lake City, Utah, USA, November 14 - 18, 2011), DT2.00007
  • 173) Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu.
    • Quantum chemical investigation for chemical dry etching by flowing NF3 into H2 down flow plasma.
      • 64th Annual Gaseous Electronics Conference (GEC), (Salt Lake City, Utah, USA, November 14 - 18, 2011), QRP1.00012
  • 174) Keigo Takeda, Masanori Kato, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    • Quantitatively investigation of activated species generated by ac excited nonequilibrium atmospheric pressure plasma.
      • 4th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Science Exchange Plaza, Nagoya Science Park, Nagoya, Japan, December 1, 2011
  • 175) Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    • Surface and interface analysis for plasma processing.
      • 4th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Science Exchange Plaza, Nagoya Science Park, Nagoya, Japan, December 1, 2011

国際会議 2023 | 2022 | 2021 | 2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | before 2009


Copyright Kenji Ishikawa (c) 2009-2023 Center for Low-temperature plasma sciences, Nagoya University.

Last-modified: 2020-11-20 (金) 22:46:42